BibTeX list of works
@article{id629, title = {Impact of Irradiation Side on Muon-Induced Single Event Upsets in {65-nm} Bulk {SRAMs}}, author = {Y. Deng and Y. Watanabe and S. Manabe and W. Liao and M. Hashimoto and S. Abe and M. Tampo and Y. Miyake}, journal = {IEEE Transactions on Nuclear Science}, volume = {71}, number = {4}, pages = {912-920}, month = {4}, year = {2024}, } @article{id620, title = {Reliability Exploration of System-on-Chip with Multi-bit-width Accelerator for Multi-precision Deep Neural Networks}, author = {Q. Cheng and M. Huang and C. Man and A. Shen and L. Dai and H. Yu and M. Hashimoto}, journal = {IEEE Transactions on Circuits and Systems I: Regular Papers}, volume = {70}, number = {10}, pages = {3978 -- 3991}, month = {10}, year = {2023}, } @article{id613, title = {A Terrestrial {SER} Estimation Methodology based on Simulation coupled with One-Time Neutron Irradiation Testing}, author = {S. Abe and M. Hashimoto and W. Liao and T. Kato and H. Asai and K. Shimbo and H. Matsuyama and T. Sato and K. Kobayashi and Y. Watanabe}, journal = {IEEE Transactions on Nuclear Science}, volume = {70}, number = {8}, pages = {1652 -- 1657}, month = {8}, year = {2023}, } @article{id608, title = {A Low-Power Sparse Convolutional Neural Network Accelerator with Pre-Encoding Radix-4 Booth Multiplier}, author = {Q. Cheng and L. Dai and M. Huang and A. Shen and W. Mao and M. Hashimoto and H. Yu}, journal = {IEEE Transactions on Circuits and Systems II}, volume = {70}, number = {6}, pages = {2246 - 2250}, month = {6}, year = {2023}, } @article{id604, title = {Vulnerability Estimation of {DNN} Model Parameters with Few Fault Injections}, author = {Y. Zhang and H. Itsuji and T. Uezono and T. Toba and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E106-A}, number = {3}, pages = {523-531}, month = {3}, year = {2023}, } @article{id605, title = {{B2N2:} Resource Efficient Bayesian Neural Network Accelerator Using Bernoulli Sampler on {FPGA}}, author = {H. Awano and M. Hashimoto}, journal = {Integration, the VLSI Journal}, volume = {89}, pages = {1-8}, month = {3}, year = {2023}, } @article{id612, title = {Recurrent Residual Networks Contain Stronger Lottery Tickets}, author = {A. Lopez and Y. Okoshi and M. Hashimoto and M. Motomura and J. Yu}, journal = {IEEE Access}, volume = {11}, pages = {16588 - 16604}, month = {2}, year = {2023}, } @article{id592, title = {VirtualSync+: Timing Optimization with Virtual Synchronization}, author = {G. L. Zhang and B. Li and X. Huang and X. Yin and C. Zhuo and M. Hashimoto and U. Schlichtmann}, journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}, volume = {41}, number = {12}, pages = {5526-5540}, month = {12}, year = {2022}, } @article{id594, title = {Via-switch {FPGA} with Transistor-free Programmability Enabling Energy-Efficient Near-Memory Parallel Computation}, author = {M. Hashimoto and X. Bai and N. Banno and M. Tada and T. Sakamoto and J. Yu and R. Doi and H. Onodera and T. Imagawa and H. Ochi and K. Wakabayashi and Y. Mitsuyama and T. Sugibayashi}, journal = {Japanese Journal of Applied Physics}, volume = {61}, number = {SM0804}, month = {10}, year = {2022}, } @article{id593, title = {A Hardware Efficient Reservoir Computing System Using Cellular Automata and Ensemble Bloom Filter}, author = {D. Liang and J. Shiomi and N. Miura and M. Hashimoto and H. Awano}, journal = {IEICE Trans. on Information and Systems}, volume = {105-D}, number = {7}, pages = {1273--1282}, month = {7}, year = {2022}, } @article{id577, title = {Via-Switch {FPGA}: 65nm {CMOS} Implementation and Evaluation}, author = {X. Bai and N. Banno and M. Miyamura and R. Nebashi and K. Okamoto and H. Numata and N. Iguchi and M. Hashimoto and T. Sugibayashi and T. Sakamoto and M. Tada}, journal = {IEEE Journal of Solid-State Circuits}, volume = {57}, number = {7}, pages = {2250-2262}, month = {7}, year = {2022}, } @article{id575, title = {Activation-aware Slack Assignment Based Mode-wise Voltage Scaling for Energy Minimization}, author = {T. Cheng and Y. Masuda and J. Nagayama and Y. Momiyama and J. Chen and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E105-A}, number = {3}, pages = {497--508}, month = {3}, year = {2022}, } @article{id576, title = {Low-Power Design Methodology of Voltage Over-Scalable Circuit with Critical Path Isolation and Bit-Width Scaling}, author = {Y. Masuda and J. Nagayama and T. Cheng and T. Ishihara and Y. Momiyama and and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {105-A}, number = {3}, pages = {509--517}, month = {3}, year = {2022}, } @article{id582, title = {Impact of Neutron-induced {SEU} in {FPGA} {CRAM} on Image-based Lane Tracking for Autonomous Driving: from Bit Upset to {SEFI} and Erroneous Behavior}, author = {T. Tanaka and W. Liao and M. Hashimoto and Y. Mitsuyama}, journal = {IEEE Transactions on Nuclear Science}, volume = {69}, number = {1}, pages = {35--42}, month = {1}, year = {2022}, } @article{id574, title = {Analyzing {DUE} Errors on {GPUs} with Neutron Irradiation Test and Fault Injection to Control Flow}, author = {K. Ito and Y. Zhang and H. Itsuji and T. Uezono and T. Toba and M. Hashimoto}, journal = {IEEE Transactions on Nuclear Science}, volume = {68}, number = {8}, pages = {1668--1674}, month = {8}, year = {2021}, } @article{id572, title = {Muon-Induced Single-Event Upsets in {20-nm} {SRAMs:} Comparative Characterization with Neutrons and Alpha Particles}, author = {T. Kato and M. Tampo and S. Takeshita and H. Tanaka and H. Matsuyama and M. Hashimoto and Y. Miyake}, journal = {IEEE Transactions on Nuclear Science}, volume = {68}, number = {7}, pages = {1436-1444}, month = {7}, year = {2021}, } @article{id571, title = {Characterizing Energetic Dependence of {Low-energy} {Neutron-induced} {SEU} and {MCU} and Its Influence on Estimation of Terrestrial {SER} in 65 {nm} Bulk {SRAM}}, author = {W. Liao and K. Ito and S. Abe and Y. Mitsuyama and M. Hashimoto}, journal = {IEEE Transactions on Nuclear Science}, volume = {68}, number = {6}, pages = {1228-1234}, month = {6}, year = {2021}, } @article{id565, title = {Make it trackable: An Instant Magnetic Tracking System with Coil-free Tiny Trackers}, author = {R. Shirai and Y. Itoh and M. Hashimoto}, journal = {IEEE Access}, volume = {9}, pages = {26616 - 26632}, month = {2}, year = {2021}, } @article{id556, title = {A Fault Detection and Diagnosis Method for Via-Switch Crossbar in Non-volatile {FPGA}}, author = {R. Doi and X. Bai and T. Sakamoto and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {103-A}, number = {12}, pages = {1447--1455}, month = {12}, year = {2020}, } @article{id549, title = {A Frequency-Dependent Target Impedance Method Fulfilling Voltage Drop Constraints in Multiple Frequency Ranges}, author = {J. Chen and M. Hashimoto}, journal = {IEEE Transactions on Components, Packaging and Manufacturing Technology}, volume = {10}, number = {11}, pages = {1769 -- 1781}, month = {11}, year = {2020}, } @article{id533, title = {Sneak Path Free Reconfiguration with Minimized Programming Steps for Via-switch Crossbar Based {FPGA}}, author = {R. Doi and J. Yu and M. Hashimoto}, journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}, volume = {39}, number = {10}, pages = {2572--2587}, month = {10}, year = {2020}, } @article{id548, title = {Logarithm-Approximate Floating-Point Multiplier is Applicable to Power-Efficient Neural Network Training}, author = {T. Cheng and Y. Masuda and J. Chen and J. Yu and M. Hashimoto}, journal = {Integration, the VLSI Journal}, volume = {74}, pages = {19--31}, month = {9}, year = {2020}, } @article{id543, title = {Measurement of Single-Event Upsets in {65-nm} {SRAMs} Under Irradiation of Spallation Neutrons at {J-PARC} {MLF}}, author = {J. Kuroda and S. Manabe and Y. Watanabe and K. Ito and W. Liao and M. Hashimoto and S. Abe and M. Harada and K. Oikawa and Y. Miyake}, journal = {IEEE Transactions on Nuclear Science}, volume = {67}, number = {7}, pages = {1599 -- 1605}, month = {7}, year = {2020}, } @article{id547, title = {Angular Sensitivity of Neutron-Induced Single-Event Upsets in {12-nm} {FinFET} {SRAMs} with Comparison to {20-nm} Planar {SRAMs}}, author = {T. Kato and M. Hashimoto and H. Matsuyama}, journal = {IEEE Transactions on Nuclear Science}, volume = {67}, number = {7}, pages = {1485 -- 1493}, month = {7}, year = {2020}, } @article{id535, title = {Irradiation Test of 65 {nm} Bulk {SRAMs} with {DC} Muon Beam at {RCNP} {MuSIC} Facility}, author = {T. Mahara and S. Manabe and Y. Watanabe and W. Liao and M. Hashimoto and T. Y. Saito and M. Niikura and K. Ninomiya and D. Tomono and A. Sato}, journal = {IEEE Transactions on Nuclear Science}, volume = {67}, number = {7}, pages = {1555 -- 1559}, month = {7}, year = {2020}, } @article{id540, title = {Impact of the Angle of Incidence on Negative Muon-induced {SEU} Cross Sections of {65-nm} Bulk and {FDSOI} {SRAMs}}, author = {W. Liao and M. Hashimoto and S. Manabe and Y. Watanabe and S. Abe and M. Tampo and S. Takeshita and Y. Miyake}, journal = {IEEE Transactions on Nuclear Science}, volume = {67}, number = {7}, pages = {1566 -- 1572}, month = {7}, year = {2020}, } @article{id532, title = {{DC} Magnetic Field Based {3D} Localization with Single Anchor Coil}, author = {R. Shirai and M. Hashimoto}, journal = {IEEE Sensors Journal}, volume = {20}, number = {7}, pages = {3902 -- 3913}, month = {4}, year = {2020}, } @article{id508, title = {Characterizing {SRAM} and {FF} Soft Error Rates with Measurement and Simulation (Invited)}, author = {M. Hashimoto and K. Kobayashi and J. Furuta and S. Abe and Y. Watanabe}, journal = {Integration, the VLSI Journal}, volume = {69}, pages = {161--179}, month = {11}, year = {2019}, } @article{id514, title = {A {Multi-Core} Chip Load Model for {PDN} Analysis Considering Voltage-Current-Timing Interdependency and Operation Mode Transitions}, author = {J. Chen and H. Kando and T. Kanamoto and C. Zhuo and M. Hashimoto}, journal = {IEEE Transactions on Components, Packaging and Manufacturing Technology}, volume = {9}, number = {9}, pages = {1669--1679}, month = {9}, year = {2019}, } @article{id517, title = {Low-power Crossbar Switch with {Two-Varistors} Selected Complementary Atom Switch ({2V-1CAS}; {Via-Switch}) for Nonvolatile {FPGA}}, author = {N. Banno and K. Okamoto and N. Iguchi and H. Ochi and H. Onodera and M. Hashimoto and T. Sugibayashi and T. Sakamoto and M. Tada}, journal = {IEEE Transactions on Electron Devices}, volume = {66}, number = {8}, pages = {3331--3336}, month = {8}, year = {2019}, } @article{id506, title = {Impact of Irradiation Side on Neutron-induced Single Event Upsets in {65-nm} Bulk {SRAMs}}, author = {S. Abe and W. Liao and S. Manabe and T. Sato and M. Hashimoto and Y. Watanabe}, journal = {IEEE Transactions on Nuclear Science}, volume = {66}, number = {7}, pages = {1374 -- 1380}, month = {7}, year = {2019}, } @article{id511, title = {Estimation of Muon-Induced {SEU} Rates for {65-nm} Bulk and {UTBB-SOI} {SRAMs}}, author = {S. Manabe and Y. Watanabe and W. Liao and M. Hashimoto and S. Abe}, journal = {IEEE Transactions on Nuclear Science}, volume = {66}, number = {7}, pages = {1398 -- 1403}, month = {7}, year = {2019}, } @article{id497, title = {Stochastic Analysis on Hold Timing Violation in Ultra-Low Temperature Circuits for Functional Test at Room Temperature}, author = {T. Nakayama and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {102-A}, number = {7}, pages = {914--917}, month = {7}, year = {2019}, } @article{id509, title = {Similarity analysis on neutron- and negative moun-induced {MCUs} in {65-nm} bulk {SRAM}}, author = {W. Liao and M. Hashimoto and S. Manabe and S. Abe and Y. Watanabe}, journal = {IEEE Transactions on Nuclear Science}, volume = {66}, number = {7}, pages = {1390 -- 1397}, month = {7}, year = {2019}, } @article{id507, title = {{MTTF-aware} Design Methodology of Adaptively Voltage Scaled Circuit with Timing Error Predictive Flip-Flop}, author = {Y. Masuda and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {102-A}, number = {7}, pages = {867--877}, month = {7}, year = {2019}, } @article{id501, title = {Analyzing Impacts of {SRAM}, {FF} and Combinational Circuit on Chip-Level Neutron-Induced Soft Error Rate}, author = {W. Liao and M. Hashimoto}, journal = {IEICE Trans. on Electronics}, volume = {E102-C}, number = {4}, pages = {296--302}, month = {4}, year = {2019}, } @article{id471, title = {Sensor signal processing using high-level synthesis with a layered architecture}, author = {H. Hihara and A. Iwasaki and M. Hashimoto and H. Ochi and Y. Mitsuyama and H. Onodera and H. Kanbara and K. Wakabayashi and T. Sugibayashi and T. Takenaka and H. Hada and M. Tada and M. Miyamura and T. Sakamoto}, journal = {IEEE Embedded Systems Letters}, volume = {10}, number = {4}, pages = {119 -- 122}, month = {12}, year = {2018}, } @article{id473, title = {Via-switch {FPGA}: Highly-dense Mixed-grained Reconfigurable Architecture with Overlay Via-switch Crossbars}, author = {H. Ochi and K. Yamaguchi and T. Fujimoto and J. Hotate and T. Kishimoto and T. Higashi and T. Imagawa and R. Doi and M. Tada and T. Sugibayashi and W. Takahashi and K. Wakabayashi and H. Onodera and Y. Mitsuyama and J. Yu and M. Hashimoto}, journal = {IEEE Transactions on VLSI Systems}, volume = {26}, number = {12}, pages = {2723--2736}, month = {12}, year = {2018}, } @article{id489, title = {Activation-aware Slack Assignment for Time-to-Failure Extension and Power Saving}, author = {Y. Masuda and T. Onoye and M. Hashimoto}, journal = {IEEE Transactions on VLSI Systems}, volume = {26}, number = {11}, pages = {2217--2229}, month = {11}, year = {2018}, } @article{id481, title = {Hardware Architecture for High-Speed Object Detection using Decision Tree Ensemble}, author = {K. Mitsunari and J. Yu and T. Onoye and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E101-A}, number = {9}, pages = {1298--1307}, month = {9}, year = {2018}, } @article{id476, title = {Negative and Positive Muon-Induced Single Event Upsets in {65-nm} {UTBB} {SOI} {SRAMs}}, author = {S. Manabe and Y. Watanabe and W. Liao and M. Hashimoto and K. Nakano and H. Sato and T. Kin and S. Abe and K. Hamada and M. Tampo and Y. Miyake}, journal = {IEEE Transactions on Nuclear Science}, volume = {65}, number = {8}, pages = {1742--1749}, month = {8}, year = {2018}, } @article{id477, title = {Measurement and Mechanism Investigation of Negative and Positive Muon-Induced Upsets in {65-nm} Bulk {SRAMs}}, author = {W. Liao and M. Hashimoto and S. Manabe and Y. Watanabe and K. Nakano and H. Sato and T. Kin and K. Hamada and M. Tampo and Y. Miyake}, journal = {IEEE Transactions on Nuclear Science}, volume = {65}, number = {8}, pages = {1734--1741}, month = {8}, year = {2018}, } @article{id465, title = {From Process Variations to Reliability: A Survey of Timing of Digital Circuits in the Nanometer Era (Invited)}, author = {B. Li and M. Hashimoto and U. Schlichtmann}, journal = {IPSJ Transactions on System LSI Design Methodology}, volume = {11}, pages = {2--15}, month = {2}, year = {2018}, } @article{id429, title = {An Analytic Evaluation on Soft Error Immunity Enhancement due to Temporal Triplication}, author = {R. Doi and M. Hashimoto and T. Onoye}, journal = {International Journal of Embedded Systems}, volume = {10}, number = {1}, pages = {22-31}, month = {1}, year = {2018}, } @article{id448, title = {Performance Evaluation of Software-based Error Detection Mechanisms for Supply Noise induced Timing Errors}, author = {Y. Masuda and T. Onoye and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E100-A}, number = {7}, pages = {1452--1463}, month = {7}, year = {2017}, } @article{id445, title = {Latch Clustering for Minimizing Detection-to-Boosting Latency Toward Low-Power Resilient Circuits}, author = {C.-C. Hsu and M. Hashimoto and P.-H. Lin}, journal = {Integration, the VLSI Journal}, volume = {58}, pages = {236--244}, month = {6}, year = {2017}, } @article{id424, title = {Device-Parameter Estimation with Sensitivity-Configurable Ring Oscillator}, author = {S. Iizuka and Y. Higuchi and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E98-A}, number = {12}, pages = {2607--2613}, month = {12}, year = {2015}, } @article{id409, title = {Modeling the Effect of Global Layout Pattern on Wire Width Variation for On-the-Fly Etching Process Modification}, author = {D. Fukuda and K. Watanabe and Y. Kanazawa and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E98-A}, number = {7}, pages = {1467--1474}, month = {7}, year = {2015}, } @article{id417, title = {Proximity Distance Estimation based on Electric Field Communication between 1mm\³ Sensor Nodes}, author = {T. Shinada and M. Hashimoto and T. Onoye}, journal = {Analog Integrated Circuits and Signal Processing}, month = {5}, year = {2015}, } @article{id404, title = {Characterizing alpha- and neutron-induced {SEU} and {MCU} on {SOTB} and bulk {0.4-V} {SRAMs}}, author = {S. Hirokawa and R. Harada and M. Hashimoto and T. Onoye}, journal = {IEEE Transactions on Nuclear Science}, volume = {62}, number = {2}, pages = {420--427}, month = {4}, year = {2015}, } @article{id398, title = {Exploring Well-Configurations for Minimizing Single Event Latchup}, author = {T. Uemura and T. Kato and R. Tanabe and H. Iwata and J. Ariyoshi and H. Matsuyama and M. Hashimoto}, journal = {IEEE Transactions on Nuclear Science}, volume = {61}, number = {6}, pages = {3282--3289}, month = {12}, year = {2014}, } @article{id392, title = {Edge-over-Erosion Error Prediction Method Based on Multi-Level Machine Learning Algorithm}, author = {D. Fukuda and K. Watanabe and N. Idani and Y. Kanazawa and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E97-A}, number = {12}, pages = {2373--2382}, month = {12}, year = {2014}, } @article{id388, title = {Reliability-Configurable Mixed-Grained Reconfigurable Array Supporting C-based Design and Its Irradiation Testing}, author = {H. Konoura and D. Alnajjar and Y. Mitsuyama and H. Shimada and K. Kobayashi and H. Kanbara and H. Ochi and T. Imagawa and K. Wakabayashi and M. Hashimoto and T. Onoye and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E97-A}, number = {12}, pages = {2518--2529}, month = {12}, year = {2014}, } @article{id389, title = {A Process and Temperature Tolerant Oscillator-based True Random Number Generator}, author = {T. Amaki and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E97-A}, number = {12}, pages = {2393--2399}, month = {12}, year = {2014}, } @article{id374, title = {Comparative evaluation of lifetime enhancement with fault avoidance on dynamically reconfigurable devices}, author = {H. Konoura and T. Imagawa and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E97-A}, number = {7}, pages = {1468--1482}, month = {7}, year = {2014}, } @article{id375, title = {{NBTI} mitigation method by inputting random scan-in vectors in standby time}, author = {H. Konoura and T. Kameda and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E97-A}, number = {7}, pages = {1483--1491}, month = {7}, year = {2014}, } @article{id376, title = {{SET} Pulse-Width Measurement Suppressing Pulse-Width Modulation and Within-die Process Variation Effects}, author = {R. Harada and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E97-A}, number = {7}, pages = {1461--1467}, month = {7}, year = {2014}, } @article{id345, title = {Measurement and Analysis of {Alpha-Particle-Induced} Soft Errors and Multiple Cell Upsets in {10T} Subthreshold {SRAM}}, author = {H. Fuketa and R. Harada and M. Hashimoto and T. Onoye}, journal = {IEEE Transactions on Device and Materials Reliability}, volume = {14}, number = {1}, pages = {463 -- 470}, month = {3}, year = {2014}, } @article{id368, title = {Mitigating Multi-Bit-Upset with Well-Slits in 28 {nm} Multi-Bit-Latch}, author = {T. Uemura and T. Kato and H. Matsuyama and M. Hashimoto}, journal = {IEEE Transactions on Nuclear Science}, volume = {60}, number = {6}, pages = {4362--4367}, month = {12}, year = {2013}, } @article{id369, title = {Soft-Error in {SRAM} at Ultra-Low Voltage and Impact of Secondary Proton in Terrestrial Environment}, author = {T. Uemura and T. Kato and H. Matsuyama and M. Hashimoto}, journal = {IEEE Transactions on Nuclear Science}, volume = {60}, number = {6}, pages = {4232--4237}, month = {12}, year = {2013}, } @article{id333, title = {Implementing Flexible Reliability in a Coarse Grained Reconfigurable Architecture}, author = {D. Alnajjar and H. Konoura and Y. Ko and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {IEEE Transactions on VLSI Systems}, volume = {21}, number = {12}, pages = {2165 -- 2178}, month = {12}, year = {2013}, } @article{id337, title = {A Gate-Delay Model Focusing on Current Fluctuation over Wide Range of Process-Voltage-Temperature Variations}, author = {K. Shinkai and M. Hashimoto and T. Onoye}, journal = {Integration, the VLSI Journal}, volume = {46}, number = {4}, pages = {345--358}, month = {9}, year = {2013}, } @article{id356, title = {A Worst-case-aware Design Methodology for Noise-tolerant Oscillator-based True Random Number Generator with Stochastic Behavior Modeling}, author = {T. Amaki and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {IEEE Transactions on Information Forensics and Security}, volume = {8}, number = {8}, pages = {1331--1342}, month = {8}, year = {2013}, } @article{id351, title = {Field Slack Assessment for Predictive Fault Avoidance on Coarse-Grained Reconfigurable Devices}, author = {T.Kameda and H. Konoura and D. Alnajjar and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Information and Systems}, volume = {E96-D}, number = {8}, pages = {1624--1631}, month = {8}, year = {2013}, } @article{id336, title = {Impact of {NBTI-Induced} Pulse-Width Modulation on {SET} Pulse-Width Measurement}, author = {R. Harada and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {IEEE Transactions on Nuclear Science}, volume = {60}, number = {4}, pages = {2630--2634}, month = {8}, year = {2013}, } @article{id343, title = {{PVT-induced} Timing Error Detection through Replica Circuits and Time Redundancy in Reconfigurable Devices}, author = {D. Alnajjar and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {IEICE Electronics Express (ELEX)}, volume = {10}, number = {5}, month = {4}, year = {2013}, } @article{id317, title = {Supply Noise Suppression by Triple-Well Structure}, author = {Y. Ogasahara and M. Hashimoto and T. Kanamoto and T. Onoye}, journal = {IEEE Transactions on VLSI Systems}, volume = {21}, number = {4}, pages = {781--785}, month = {4}, year = {2013}, } @article{id338, title = {A {0.8-V} {110-nA} {CMOS} current reference circuit using subthreshold operation}, author = {I. Homjakovs and T. Hirose and Y. Osaki and M. Hashimoto and T. Onoye}, journal = {IEICE Electronics Express (ELEX)}, volume = {10}, number = {4}, month = {3}, year = {2013}, } @article{id335, title = {Jitter Amplifier for Oscillator-based True Random Number Generator}, author = {T. Amaki and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E96-A}, number = {3}, pages = {684--696}, month = {3}, year = {2013}, } @article{id334, title = {Signal-Dependent Analog-to-Digital Conversion based on {MINIMAX} Sampling}, author = {I. Homjakovs and M. Hashimoto and T. Hirose and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E96-A}, number = {2}, pages = {459--468}, month = {2}, year = {2013}, } @article{id332, title = {Angular Dependency of Neutron Induced Multiple Cell Upsets in {65-nm} {10T} Subthreshold {SRAM}}, author = {R. Harada and S. Abe and H. Fuketa and T. Uemura and M. Hashimoto and Y. Watanabe}, journal = {IEEE Transactions on Nuclear Science}, volume = {59}, number = {6}, pages = {2791--2795}, month = {12}, year = {2012}, } @article{id326, title = {A Body Bias Clustering Method for Low Test-Cost Post-Silicon Tuning}, author = {S. Kimura and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E95-A}, number = {12}, pages = {2292--2300}, month = {12}, year = {2012}, } @article{id320, title = {Power Distribution Network Optimization for Timing Improvement with Statistical Noise Model and Timing Analysis}, author = {T. Enami and T. Sato and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E95-A}, number = {12}, pages = {2261--2271}, month = {12}, year = {2012}, } @article{id321, title = {Power Gating Implementation for Supply Noise Mitigation with Body-Tied Triple-Well Structure}, author = {Y. Takai and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E95-A}, number = {12}, pages = {2220--2225}, month = {12}, year = {2012}, } @article{id290, title = {Adaptive Performance Compensation with In-Situ Timing Error Predictive Sensors for Subthreshold Circuits}, author = {H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {IEEE Transactions on VLSI Systems}, volume = {20}, number = {2}, pages = {333--343}, month = {2}, year = {2012}, } @article{id309, title = {Stress Probability Computation for Estimating {NBTI-Induced} Delay Degradation}, author = {H. Konoura and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E94-A}, number = {12}, pages = {2545--2553}, month = {12}, year = {2011}, } @article{id310, title = {Extracting Device-Parameter Variations with {RO-Based} Sensors}, author = {K. Shinkai and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E94-A}, number = {12}, pages = {2537--2544}, month = {12}, year = {2011}, } @article{id304, title = {Setup Time, Hold Time and Clock-to-Q Delay Computation under Dynamic Supply Noise}, author = {T. Okumura and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E94-A}, number = {10}, pages = {1948--1953}, month = {10}, year = {2011}, } @article{id302, title = {Neutron-Induced Soft Errors and Multiple Cell Upsets in {65-nm} {10T} Subthreshold {SRAM}}, author = {H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {IEEE Transactions on Nuclear Science}, volume = {58}, number = {4}, pages = {2097--2102}, month = {8}, year = {2011}, } @article{id298, title = {An Average-Performance-Oriented Subthreshold Processor Self-Timed by Memory Read Completion}, author = {H. Fuketa and D. Kuroda and M. Hashimoto and T. Onoye}, journal = {IEEE Transactions on Circuits and Systems II}, volume = {58}, number = {5}, pages = {299--303}, month = {5}, year = {2011}, } @article{id275, title = {Measurement Circuits for Acquiring {SET} Pulse Width Distribution with {Sub-FO1-inverter-delay} Resolution}, author = {R. Harada and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E93-A}, number = {12}, pages = {2417--2423}, month = {12}, year = {2010}, } @article{id274, title = {Accuracy Enhancement of Grid-based {SSTA} by Coefficient Interpolation}, author = {S. Ninomiya and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E93-A}, number = {12}, pages = {2441--2446}, month = {12}, year = {2010}, } @article{id277, title = {Statistical Timing Analysis Considering Clock Jitter and Skew due to Power Supply Noise and Process Variation}, author = {T. Enami and K. Shinkai and S. Ninomiya and S. Abe and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E93-A}, number = {12}, pages = {2399--2408}, month = {12}, year = {2010}, } @article{id276, title = {Gate Delay Estimation in {STA} under Dynamic Power Supply Noise}, author = {T. Okumura and F. Minami and K. Shimazaki and K. Kuwada and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E93-A}, number = {12}, pages = {2447--2455}, month = {12}, year = {2010}, } @article{id240, title = {Transistor Variability Modeling and Its Validation with Ring-oscillation Frequencies for Body-biased Subthreshold Circuits}, author = {H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {IEEE Transactions on VLSI Systems}, volume = {18}, number = {7}, pages = {1118--1129}, month = {7}, year = {2010}, } @article{id263, title = {メディア処理向け再構成可能アーキテクチャでの動画像復号処理の実現}, author = {密山幸男 and 高橋一真 and 今井林太郎 and 橋本昌宜 and 尾上孝雄 and 白川功}, journal = {電子情報通信学会論文誌A}, volume = {J93-A}, number = {6}, pages = {397--413}, month = {6}, year = {2010}, } @article{id261, title = {Prediction of Self-heating in Short Intra-block Wires}, author = {K. Shinkai and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E93-A}, number = {3}, pages = {583--594}, month = {3}, year = {2010}, } @article{id257, title = {Impact of Self-heating in Wire Interconnection on Timing}, author = {T. Kanamoto and T. Okumura and K. Furukawa and H. Takafuji and A. Kurokawa and K. Hachiya and T. Sakata and M. Tanaka and H. Nakashima and H. Masuda and T. Sato and M. Hashimoto}, journal = {IEICE Trans. on Electronics}, volume = {E93-C}, number = {3}, pages = {388--392}, month = {3}, year = {2010}, } @article{id254, title = {Modeling the Overshooting Effect for {CMOS} Inverter Delay Analysis in Nanometer Technologies}, author = {Z. Huang and A. Kurokawa and M. Hashimoto and T. Sato and M. Jiang and Y. Inoue}, journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}, volume = {29}, number = {2}, pages = {250--260}, month = {2}, year = {2010}, } @article{id250, title = {Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction}, author = {H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E92-A}, number = {12}, pages = {3094--3102}, month = {12}, year = {2009}, } @article{id251, title = {An Approach for Reducing Leakage Current Variation due to Manufacturing Variability}, author = {T. Sakata and T. Okumura and A. Kurokawa and H. Nakashima and H. Masuda and T. Sato and M. Hashimoto and K. Hachiya and K. Furukawa and M. Tanaka and H. Takafuji and T. Kanamoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E92-A}, number = {12}, pages = {3016--3023}, month = {12}, year = {2009}, } @article{id246, title = {Interconnect Modeling: A Physical Design Perspective (Invited)}, author = {A. Kurokawa and T. Sato and T. Kanamoto and M. Hashimoto}, journal = {IEEE Transactions on Electron Devices}, volume = {56}, number = {9}, pages = {1840--1851}, month = {9}, year = {2009}, } @article{id233, title = {All Digital Ring-Oscillator Based Macro for Sensing Dynamic Supply Noise Waveform}, author = {Y. Ogasahara and M. Hashimoto and T. Onoye}, journal = {IEEE Journal of Solid-State Circuits}, volume = {44}, number = {6}, pages = {1745--1755}, month = {6}, year = {2009}, } @article{id228, title = {Statistical Timing Analysis Considering Spatially and Temporally Correlated Dynamic Power Supply Noise}, author = {T. Enami and S. Ninomiya and M. Hashimoto}, journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}, volume = {28}, number = {4}, pages = {541 - 553}, month = {4}, year = {2009}, } @article{id230, title = {Improvement in Computational Accuracy of Output Transition Time Variation Considering Threshold Voltage Variations}, author = {T. Okumura and A. Kurokawa and H. Masuda and T. Kanamoto and M. Hashimoto and H. Takafuji and H. Nakashima and N. Ono and T. Sakata and T. Sato}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {92-A}, number = {4}, pages = {990--997}, month = {4}, year = {2009}, } @article{id227, title = {An Experimental Study on Body-Biasing Layout Style Focusing on Area Efficiency and Speed Controllability}, author = {K. Hamamoto and H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {IEICE Trans. on Electronics}, volume = {E92-C}, number = {2}, pages = {281--285}, month = {2}, year = {2009}, } @article{id219, title = {Analytical Eye-diagram Model for On-chip Distortionless Transmission Lines and Its Application to Design Space Exploration}, author = {M. Hashimoto and J. Siriporn and A. Tsuchiya and H. Zhu and C.-K. Cheng}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E91-A}, number = {12}, pages = {3474-3480}, month = {12}, year = {2008}, } @article{id218, title = {Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution}, author = {S. Abe and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E91-A}, number = {12}, pages = {3481-3487}, month = {12}, year = {2008}, } @article{id214, title = {Impact of Well Edge Proximity Effect on Timing}, author = {T. Kanamoto and Y. Ogasahara and K. Natsume and K. Yamaguchi and H. Amishiro and T. Watanabe and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E91-A}, number = {12}, pages = {3461-3464}, month = {12}, year = {2008}, } @article{id220, title = {Area-Efficient Reconfigurable Architecture for Media Processing}, author = {Y. Mitsuyama and K. Takahashi and R. Imai and M. Hashimoto and T. Onoye and I. Shirakawa}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E91-A}, number = {12}, pages = {3651-3662}, month = {12}, year = {2008}, } @article{id211, title = {タイミング歩留まり改善を目的とする演算器カスケーディング}, author = {渡辺 慎吾 and 橋本 昌宜 and 佐藤寿倫}, journal = {情報処理学会論文誌コンピューティングシステム}, volume = {1}, number = {2}, pages = {12--21}, month = {8}, year = {2008}, } @article{id194, title = {Timing Analysis Considering Temporal Supply Voltage Fluctuation}, author = {M. Hashimoto and J. Yamaguchi and T. Sato and H. Onodera}, journal = {IEICE Trans. on Information and Systems}, volume = {E91-D}, number = {3}, pages = {655--660}, month = {3}, year = {2008}, } @article{id196, title = {Measurement and Analysis of Inductive Coupling Noise in 90nm Global Interconnects}, author = {Y. Ogasahara and M. Hashimoto and T. Onoye}, journal = {IEEE Journal of Solid-State Circuits}, volume = {43}, number = {3}, pages = {718--728}, month = {3}, year = {2008}, } @article{id195, title = {液晶ディスプレイ用サンプリング回路におけるサンプリングパルスとトランジスタサイズの最適設計手法}, author = {高橋真吾 and 築山修治 and 橋本昌宜 and 白川功}, journal = {電子情報通信学会論文誌A}, volume = {J91-A}, number = {3}, pages = {373-382}, month = {3}, year = {2008}, } @article{id187, title = {Timing Analysis Considering Spatial Power/Ground Level Variation}, author = {M. Hashimoto and J. Yamaguchi and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E90-A}, number = {12}, pages = {2661-2668}, month = {12}, year = {2007}, } @article{id186, title = {Transistor Sizing of {LCD} Driver Circuit for Technology Migration}, author = {M. Hashimoto and T. Ijichi and S. Takahashi and S. Tsukiyama and I. Shirakawa}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E90-A}, number = {12}, pages = {2712--2717}, month = {12}, year = {2007}, } @article{id180, title = {Validation of a Full-Chip Simulation Model for Supply Noise and Delay Dependence on Average Voltage Drop with On-chip Delay Measurement}, author = {Y. Ogasahara and T. Enami and M. Hashimoto and T. Sato and T. Onoye}, journal = {IEEE Transactions on Circuits and Systems II}, volume = {54}, number = {10}, pages = {868--872}, month = {10}, year = {2007}, } @article{id169, title = {Optimal Termination of On-Chip Transmission-Lines for High-Speed Signaling}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Electronics}, volume = {E90-C}, number = {6}, pages = {1267-1273}, month = {6}, year = {2007}, } @article{id2, title = {Proposal of metrics for {SSTA} accuracy evaluation}, author = {H. Kobayashi and N. Ono and T. Sato and J. Iwai and H. Nakashima and T. Okumura and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E90-A}, number = {4}, pages = {808--814}, month = {4}, year = {2007}, } @article{id1, title = {Quantitative Prediction of On-Chip Capacitive and Inductive Crosstalk Noise and Tradeoff Between Wire Cross-Sectional Area and Inductive Crosstalk Effect}, author = {Y. Ogasahara and M. Hashimoto and T. Onoye}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E90-A}, number = {4}, pages = {724--731}, month = {4}, year = {2007}, } @article{id4, title = {Interconnect {RL} Extraction Based on Transfer Characteristics of Transmission-Line}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E89-A}, number = {12}, pages = {3585-3593}, month = {12}, year = {2006}, } @article{id3, title = {A Sampling Switch Design Procedure for Active Matrix Liquid Crystal Displays}, author = {S. Takahashi and S. Tsukiyama and M. Hashimoto and I. Shirakawa}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E89-A}, number = {12}, pages = {3538-3545}, month = {12}, year = {2006}, } @article{id7, title = {Impact of Intrinsic Parasitic Extraction Errors on Timing and Noise Estimation }, author = {T. Kanamoto and S. Akutsu and T. Nakabayashi and T. Ichinomiya and K. Hachiya and A. Kurokawa and H. Ishikawa and S. Muromoto and H. Kobayashi and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E89-A}, number = {12}, pages = {3666-3670}, month = {12}, year = {2006}, } @article{id5, title = {Si-substrate Modeling toward Substrate-aware Interconnect Resistance and Inductance Extraction in {SoC} Design}, author = {T. Kanamoto and T. Ikeda and A. Tsuchiya and H. Onodera and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E89-A}, number = {12}, pages = {3560-3568}, month = {12}, year = {2006}, } @article{id6, title = {On-chip thermal gradient analysis considering interdependence between leakage power and temperature}, author = {T. Sato and J. Ichimiya and N. Ono and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E89-A}, number = {12}, pages = {3491-3499}, month = {12}, year = {2006}, } @article{id8, title = {グラウンド平面・シールド配線によるシステム・オン・パネルの配線間容量の低減と容量見積もりの容易化}, author = {内田 好弘 and 谷 貞宏 and 橋本 昌宜 and 築山修治 and 白川 功}, journal = {情報処理学会論文誌}, volume = {47}, number = {6}, pages = {1665-1673}, month = {6}, year = {2006}, } @article{id13, title = {Second-order Polynomial Expressions for On-chip Interconnect Capacitance}, author = {A. Kurokawa and M. Hashimoto and A. Kasebe and Z.-C. Huang and Y. Yang and Y. Inoue and R. Inagaki and H. Masuda}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E88-A}, number = {12}, pages = {3453-3462}, month = {12}, year = {2005}, } @article{id10, title = {Effects of On-chip Inductance on Power Distribution Grid}, author = {A. Muramatsu and M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E88-A}, number = {12}, pages = {3564-3572}, month = {12}, year = {2005}, } @article{id9, title = {Statistical Analysis of Clock Skew Variation in H-tree Structure}, author = {M. Hashimoto and T. Yamamoto and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E88-A}, number = {12}, pages = {pp.3375-3381}, month = {12}, year = {2005}, } @article{id12, title = {On-chip thermal gradient analysis and temperature flattening for {SoC} design}, author = {T. Sato and J. Ichimiya and N. Ono and K. Hachiya and M. Hashimoto}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E88-A}, number = {12}, pages = {3382-3389}, month = {12}, year = {2005}, } @article{id11, title = {Successive pad assignment for minimizing supply voltage drop}, author = {T. Sato and M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E88-A,}, number = {12}, pages = {3429-3436}, month = {12}, year = {2005}, } @article{id14, title = {システム液晶のための配線容量抽出手法}, author = {内田 好弘 and 谷 貞宏 and 橋本 昌宜 and 築山修治 and 白川 功}, journal = {情報処理学会論文誌}, volume = {46}, number = {6}, pages = {1395-1403}, month = {6}, year = {2005}, } @article{id15, title = {Performance Limitation of On-chip Global Interconnects for High-Speed Signaling}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E88-A}, number = {4}, pages = {885-891}, month = {4}, year = {2005}, } @article{id16, title = {A Performance Prediction of Clock Generation {PLLs:} A Ring Oscillator Based {PLL} and An {LC} Oscillator Based {PLL}}, author = {T. Miyazaki and M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Electronics}, volume = {E88-C}, number = {3}, pages = {437-444}, month = {3}, year = {2005}, } @article{id17, title = {Crosstalk Noise Optimization by Post-Layout Transistor Sizing}, author = {M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E87-A}, number = {12}, pages = {3251-3257}, month = {12}, year = {2004}, } @article{id18, title = {Equivalent Waveform Propagation for Static Timing Analysis}, author = {M. Hashimoto and Y. Yamada and H. Onodera}, journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}, volume = {23}, number = {4}, pages = {498-508}, month = {4}, year = {2004}, } @article{id20, title = {Representative Frequency for Interconnect {R(f)L(f)C} Extraction}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E86-A}, number = {12}, pages = {2942-2951}, month = {12}, year = {2003}, } @article{id19, title = {Crosstalk Noise Estimation for Generic {RC} Trees}, author = {M. Hashimoto and M. Takahashi and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E86-A}, number = {12}, pages = {2965-2973}, month = {12}, year = {2003}, } @article{id21, title = {Experimental Study on Cell-Base High-Performance Datapath Design}, author = {M. Hashimoto and Y. Hayashi and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E86-A}, number = {12}, pages = {3204-3207}, month = {12}, year = {2003}, } @article{id22, title = {遅延計算におけるインダクタンスを考慮すべき配線の統計的選別手法}, author = {金本俊幾 and 佐藤高史 and 黒川敦 and 川上善之 and 岡宏規 and 北浦智靖 and 小林宏行 and 橋本昌宜}, journal = {情報処理学会論文誌}, volume = {44}, number = {5}, pages = {1301-1310}, month = {5}, year = {2003}, } @article{id23, title = {Increase in Delay Uncertainty by Performance Optimization}, author = {M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E85-A}, number = {12}, pages = {2799-2802}, month = {12}, year = {2002}, } @article{id24, title = {{VLSI}配線の伝送線路特性を考慮した駆動力決定手法}, author = {土谷亮 and 橋本昌宜 and 小野寺秀俊}, journal = {情報処理学会論文誌}, volume = {43}, number = {5}, pages = {1338--1347}, month = {5}, year = {2002}, } @article{id25, title = {Post-Layout Transistor Sizing for Power Reduction in Cell-Base Design}, author = {M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E84-A}, number = {11}, pages = {2769-2777}, month = {11}, year = {2001}, } @article{id26, title = {A Performance Optimization Method by Gate Resizing Based on Statistical Static Timing Analysis}, author = {M. Hashimoto and H. Onodera}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E83-A}, number = {12}, pages = {2558-2568}, month = {12}, year = {2000}, } @article{id27, title = {グリッチの削減を考慮したゲート寸法最適化による消費電力削減手法}, author = {橋本 昌宜 and 小野寺 秀俊 and 田丸 啓吉}, journal = {情報処理学会論文誌}, volume = {40}, number = {4}, pages = {1707-1716}, month = {4}, year = {1999}, } @article{id28, title = {A Power and Delay Optimization Method using Input Reordering in Cell-Based {CMOS} Circuits}, author = {M. Hashimoto and H. Onodera and K. Tamaru}, journal = {IEICE Trans. on Fundamentals of Electronics, Communications and Computer Sciences}, volume = {E82-A}, number = {1}, pages = {159-166}, month = {1}, year = {1999}, } @article{id628, title = {How accurately can soft error impact be estimated in black-box/white-box cases? -- a case study with an edge {AI} {SoC} --}, author = {Q. Cheng and Q. Li and L. Lin and W. Liao and L. Dai and H. Yu and M. Hashimoto}, journal = {Proceedings of Design Automation Conference (DAC)}, month = {0}, year = {(to appear)}, } @article{id627, title = {An {SEU} Cross Section Model Reproducing {LET} and Voltage Dependence in Bulk Planar and {FinFET} {SRAMs}}, author = {K. Takeuchi and T. Kato and M. Hashimoto}, journal = {Proceedings of International Symposium on Reliability Physics (IRPS)}, month = {4}, year = {2024}, } @article{id634, title = {In-beam activation measurement of muon nuclear capture reaction on Si isotopes}, author = {R. Mizuno and M. Niikura and T. Y. Saito and T. Matsuzaki and S. Abe and H. Fukuda and M. Hashimoto and K. Ishida and N. Kawamura and S. Kawase and M. Oishi and P. Strasser and A. Sato and K. Shimomura and S. Takeshita and I. Umegaki and A. Hillier and T. Kawata and K. Kitafuji and Y. Yamaguchi and D. Tomono and F. Minato}, journal = {The workshop on frontier nuclear studies with gamma-ray spectrometer arrays (gamma24)}, month = {3}, year = {2024}, } @article{id623, title = {Logic Locking over {TFHE} for Securing User Data and Algorithms}, author = {K. Suemitsu and K. Matsuoka and T. Sato and M. Hashimoto}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, month = {1}, year = {2024}, } @article{id625, title = {Performance comparison of memristor crossbar-based analog and FPGA-based digital weight-memory-less neural networks}, author = {C. Kawano and M. Hashimoto}, journal = {Proceedings of IEEE International Conference on Rebooting Computing (ICRC)}, month = {12}, year = {2023}, } @article{id626, title = {A Proof-of-Concept Prototyping of Reservoir Computing with Quantum Dots and an Image Sensor for Image Classification}, author = {T. Matsumoto and R. Shirai and M. Hashimoto}, journal = {IEEE International Conference on Rebooting Computing (ICRC)}, month = {12}, year = {2023}, } @article{id633, title = {Muon nuclear capture reaction on 28,29,30Si}, author = {R. Mizuno and M. Niikura and T. Y. Saito and T. Matsuzaki and S. Abe and H. Fukuda and M. Hashimoto and K. Ishida and N. Kawamura and S. Kawase and M. Oishi and P. Strasser and A. Sato and K. Shimomura and S. Takeshita and I. Umegaki and A. Hillier and T. Kawata and K. Kitafuji and Y. Yamaguchi and D. Tomono and F. Minato}, journal = {2023 Fall meeting of APS DNP and JPS}, month = {11}, year = {2023}, } @article{id619, title = {Stuck Errors in Bits and Blocks in {GDDR6} Under High-energy Neutron Irradiation}, author = {M. Yoshida and R. Iwamoto and M. Itoh and M. Hashimoto}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2023}, } @article{id618, title = {Muon-Induced {SEU} Cross Sections of {12-nm} {FinFET} and {28-nm} Planar {SRAMs}}, author = {Y. Gomi and K. Takami and R. Mizuno and M. Niikura and Y. Deng and S. Kawase and Y. Watanabe and S. Abe and W. Liao and M. Tampo and I. Umegaki and S. Takeshita and K. Shimomura and Y. Miyake and M. Hashimoto}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2023}, } @article{id632, title = {Nuclear physics for muon-induced soft error}, author = {M. Niikura and R. Mizuno and S. Manabe and T. Y. Saito and T. Matsuzaki and S. Abe and H. Fukuda and M. Hashimoto and K. Ishida and A. Hillier and N. Kawamura and Y. Kawashima and S. Kawase and T. Kawata and K. Kitafuji and F. Minato and M. Oishi and P. Strasser and A. Sato and K. Shimomura and S. Takeshita and M. Tampo and D. Tomono and I. Umegaki and Y. Yamaguchi and Y. Watanabe}, journal = {Workshop for Computational Technique for Negative Muon Spectroscopy and Elemental Analysis}, month = {8}, year = {2023}, } @article{id615, title = {Avoiding Soft Error-induced Illegal Memory Accesses in {GPU} with Inter-thread Communication}, author = {R. Iwamoto and M. Hashimoto}, journal = {Proceedings of International Symposium on On-Line Testing and Robust System Design (IOLTS)}, month = {7}, year = {2023}, } @article{id631, title = {Study of muon capture reaction on Si via in-beam muon activation}, author = {R. Mizuno and M. Niikura and T. Y. Saito and S. Abe and H. Fukuda and M. Hashimoto and K. Ishida and N. Kawamura and S. Kawase and T. Matsuzaki and M. Oishi and P. Strasser and A. Sato and K. Shimomura and S. Takeshita and I. Umegaki}, journal = {Advances in Radioactive Isotope Science (ARIS)}, month = {6}, year = {2023}, } @article{id606, title = {Characterizing {SEU} Cross Sections of 12- and {28-nm} {SRAMs} for 6.0, 8.0, and 14.8 {MeV} Neutrons}, author = {K. Takami and Y. Gomi and S. Abe and W. Liao and S. Manabe and T. Matsumoto and M. Hashimoto}, journal = {Proceedings of International Reliability Physics Symposium (IRPS)}, month = {3}, year = {2023}, } @article{id611, title = {Toward Instant {3D} Modeling: Highly Parallelizable Shape Reproduction Method for Soft Object Containing Numerous Tiny Position Trackers}, author = {M. Harimaya and R. Shirai and M. Hashimoto}, journal = {Proceedings of International Conference on Intelligent User Interfaces (IUI)}, month = {3}, year = {2023}, } @article{id610, title = {Study of muon capture reaction on Si via in-beam muon activation}, author = {R. Mizuno and M. Niikura and T. Y. Saito and S. Abe and H. Fukuda and M. Hashimoto and K. Ishida and N. Kawamura and S. Kawase and T. Matsuzaki and M. Oishi and P. Strasser and A. Sato and K. Shimomura and S. Takeshita and I. Umegaki}, journal = {Topical Workshops on Modern Aspects of Nuclear Structure}, month = {2}, year = {2023}, } @article{id630, title = {Measurement of muon-induced nuclear transmutation for Si isotopes}, author = {R. Mizuno and M. Niikura and T. Y. Saito and S. Abe and H. Fukuda and M. Hashimoto and K. Ishida and N. Kawamura and S. Kawase and T. Matsuzaki and M. Oishi and P. Strasser and A. Sato and K. Shimomura and S. Takeshita and I. Umegaki}, journal = {Trans-scale Quantum Science Institute}, month = {11}, year = {2022}, } @article{id598, title = {Constructing Application-level {GPU} Error Rate Model with Neutron Irradiation Experiment}, author = {K. Ito and H. Itsuji and T. Uezono and T. Toba and M. Itoh and M. Hashimoto}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {10}, year = {2022}, } @article{id599, title = {Single Bit Upsets versus Burst Errors of Stacked-Capacitor {DRAMs} Induced by High-Energy Neutron -{SECDED} is No Longer Effective-}, author = {M. Kamibayashi and K. Kobayashi and M. Hashimoto}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {10}, year = {2022}, } @article{id597, title = {A Terrestrial {SER} Estimation Methodology with Simulation and Single-Source Irradiation Applicable to Diverse Neutron Sources}, author = {S. Abe and M. Hashimoto and W. Liao and T. Kato and H. Asai and K. Shimbo and H. Matsuyama and T. Sato and K. Kobayashi and Y. Watanabe}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {10}, year = {2022}, } @article{id600, title = {Neutron-induced stuck error bits and their recovery in {DRAMs} on {GPU} cards}, author = {M. Hashimoto and Y. Zhang and K. Ito}, journal = {Proceedings of International Conference on Solid State Devices and Materials (SSDM)}, month = {9}, year = {2022}, } @article{id609, title = {{SASIMI:} Evaluation Board for {EM} Information Leakage from Large Scale Cryptographic Circuits}, author = {D. Fujimoto and Y. Kim and Y. Hayashi and N. Homma and M. Hashimoto and T. Sato and J.-L. Danger}, journal = {IEEE International Symposium on Electromagnetic Compatibility \& Signal/Power Integrity}, month = {8}, year = {2022}, } @article{id596, title = {Investigating Small Device Implementation of {FRET-Based} Optical Reservoir Computing}, author = {M. Tanaka and J. Yu and M. Nakagawa and N. Tate and M. Hashimoto}, journal = {Proceedings of International Midwest Symposium on Circuits and Systems (MWSCAS)}, month = {8}, year = {2022}, } @article{id595, title = {{DC} Magnetic Field-Based Analytical Localization Robust to Known Stationary Magnetic Object}, author = {T. Tanaka and R. Shirai and M. Hashimoto}, journal = {Proceedings of International Midwest Symposium on Circuits and Systems (MWSCAS)}, month = {8}, year = {2022}, } @article{id584, title = {Shape-Flexible Underwater Display System with Wirelessly Powered and Controlled Smart LEDs}, author = {R. Shirai and M. Hashimoto}, journal = {Proceedings of International Conference on Intelligent User Interfaces (IUI)}, pages = {89–92}, month = {3}, year = {2022}, } @article{id580, title = {Estimating Vulnerability of All Model Parameters in {DNN} with a Small Number of Fault Injections}, author = {Y. Zhang and H. Itsuji and T. Uezono and T. Toba and M. Hashimoto}, journal = {Proceedings of Design, Automation and Test in Europe Conference (DATE)}, pages = {60-63}, month = {3}, year = {2022}, } @article{id578, title = {Submarine {LED:} Wirelessly powered underwater display controlling its buoyancy}, author = {R. Shirai and M. Hashimoto}, journal = {Proceedings of SIGGRAPH Asia}, month = {12}, year = {2021}, } @article{id579, title = {Hidden-Fold Networks: Random Recurrent Residuals Using Sparse Supermasks}, author = {A. Lopez and M. Hashimoto and M. Motomura and J. Yu}, journal = {Proceedings of British Machine Vision Conference (BMVC)}, month = {11}, year = {2021}, } @article{id573, title = {Processor {SER} Estimation with {ACE} Bit Analysis}, author = {T. Hsu and D. Yang and W. Liao and M. Itoh and M. Hashimoto and and J. Liou}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2021}, } @article{id566, title = {Minimizing Energy of {DNN} Training with Adaptive Bit-Width and Voltage Scaling}, author = {T. Cheng and M. Hashimoto}, journal = {Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS)}, month = {5}, year = {2021}, } @article{id567, title = {Proactive Supply Noise Mitigation and Design Methodology for Robust {VLSI} Power Distribution (Invited)}, author = {M. Hashimoto and J. Chen}, journal = {Proceedings of China Semiconductor Technology International Conference (CSTIC)}, month = {3}, year = {2021}, } @article{id564, title = {Linear Programming Based Reliable Software Performance Model Construction with Noisy {CPU} Performance Counter Values}, author = {T. Tanaka and M. Hashimoto and Y. Takeuchi}, journal = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, month = {3}, year = {2021}, } @article{id561, title = {{BloomCA:} A Memory Efficient Reservoir Computing Hardware Implementation Using Cellular Automata and Ensemble Bloom Filter}, author = {D. Liang and M. Hashimoto and H. Awano}, journal = {Proceedings of Design, Automation and Test in Europe Conference (DATE)}, month = {2}, year = {2021}, } @article{id562, title = {{MUX} Granularity-Oriented Iterative Technology Mapping for Implementing Compute-Intensive Applications on Via-Switch {FPGA}}, author = {T. Imagawa and J. Yu and M. Hashimoto and H. Ochi}, journal = {Proceedings of Design, Automation and Test in Europe Conference (DATE)}, month = {2}, year = {2021}, } @article{id560, title = {Critical Path Isolation and Bit-Width Scaling Are Highly Compatible for Voltage Over-Scalable Design}, author = {Y. Masuda and J. Nagayama and T. Cheng and T. Ishihara and Y. Momiyama and M. Hashimoto}, journal = {Proceedings of Design, Automation and Test in Europe Conference (DATE)}, month = {2}, year = {2021}, } @article{id559, title = {Mode-wise Voltage-scalable Design with Activation-aware Slack Assignment for Energy Minimization}, author = {T. Cheng and Y. Masuda and J. Nagayama and Y. Momiyama and J. Chen and M. Hashimoto}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {284 -- 290}, month = {1}, year = {2021}, } @article{id555, title = {Concurrent detection of failures in {GPU} control logic for reliable parallel computing}, author = {H. Itsuji and T. Uezono and T. Toba and K. Ito and M. Hashimoto}, journal = {Proceedings of International Test Conference (ITC)}, month = {11}, year = {2020}, } @article{id554, title = {Proactive Supply Noise Mitigation with Low-Latency Minor Voltage Regulator and Lightweight Current Prediction}, author = {J. Chen and M. Hashimoto}, journal = {Proceedings of International Test Conference (ITC)}, month = {11}, year = {2020}, } @article{id545, title = {Muon-Induced Single-Event Upsets in {20-nm} {SRAMs:} Comparative Characterization with Neutrons and {Alpha-Particles}}, author = {T. Kato and M. Tampo and S. Takeshita and Y. Miyake and H Tanaka and M. Hashimoto}, journal = {IEEE Nuclear and Space Radiation Effects Conference (NSREC)}, month = {11}, year = {2020}, } @article{id537, title = {Low-Cost Reservoir Computing Using Cellular Automata and Random Forests}, author = {A. Lopez and J. Yu and M. Hashimoto}, journal = {Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS)}, month = {10}, year = {2020}, } @article{id552, title = {Analyzing {DUE} Errors with Neutron Irradiation Test and Fault Injection to Control Flow}, author = {K. Ito and Y. Zhang and H. Itsuji and T. Uezono and T. Toba and M. Hashimoto}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {10}, year = {2020}, } @article{id551, title = {Fault Mode Analysis of Neural Network-based Object Detection on {GPUs} with Neutron Irradiation Test}, author = {Y. Zhang and K. Ito and H. Itsuji and T. Uezono and T. Toba and M. Hashimoto}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {10}, year = {2020}, } @article{id534, title = {Memory Efficient Training Using Lookup-Table-Based Quantization for Neural Network}, author = {K. Onishi and J. Yu and M. Hashimoto}, journal = {Proceedings of International Conference on Artificial Intelligence Circuits and Systems (AICAS)}, month = {8}, year = {2020}, } @article{id553, title = {Position and Posture Estimation of Capsule Endoscopy with a Single Wearable Coil Toward Daily Life Diagnosis}, author = {R. Shimizu and R. Shirai and M. Hashimoto}, journal = {Proceedings of International Midwest Symposium on Circuits and Systems (MWSCAS)}, pages = {57--60}, month = {8}, year = {2020}, } @article{id550, title = {Variation-Tolerant Voltage Over-Scalable Design with Critical Path Isolation and Bit-Width Scaling}, author = {Y. Masuda and J. Nagayama and T. Cheng and T. Ishihara and Y. Momiyama and M. Hashimoto}, journal = {International Workshop on Logic and Synthesis (IWLS)}, month = {7}, year = {2020}, } @article{id546, title = {1.5x Energy-Efficient and 1.4x Operation-Speed Via-Switch {FPGA} with Rapid and Low-Cost {ASIC} Migration by Via-Switch Copy}, author = {X. Bai and N. Banno and M. Miyamura and R. Nebashi and K. Okamoto and H. Numata and N. Iguchi and M. Hashimoto and T. Sugibayashi and T. Sakamoto and M. Tada}, journal = {Technical Digest of VLSI Symposium on Technology}, month = {6}, year = {2020}, } @article{id530, title = {{BYNQNet:} Bayesian Neural Network with Quadratic Activations for Sampling-Free Uncertainty Estimation on {FPGA}}, author = {H. Awano and M. Hashimoto}, journal = {Proceedings of Design, Automation and Test in Europe Conference (DATE)}, month = {4}, year = {2020}, } @article{id531, title = {Fault Diagnosis of Via-Switch Crossbar in Non-volatile {FPGA}}, author = {R. Doi and X. Bai and T. Sakamoto and M. Hashimoto}, journal = {Proceedings of Design, Automation and Test in Europe Conference (DATE)}, month = {4}, year = {2020}, } @article{id538, title = {Impact of Hydrided and Non-Hydrided Materials Near Transistors on Neutron-Induced Single Event Upsets}, author = {S. Abe and T. Sato and J. Kuroda and S. Manabe and Y. Watanabe and W. Liao and K. Ito and M. Hashimoto and M. Harada and K. Oikawa and Y. Miyake}, journal = {Proceedings of International Symposium on Reliability Physics (IRPS)}, month = {4}, year = {2020}, } @article{id539, title = {Characterizing Energetic Dependence of Low-Energy Neutron-induced {MCUs} in 65 {nm} Bulk {SRAMs}}, author = {W. Liao and K. Ito and Y. Mitsuyama and M. Hashimoto}, journal = {Proceedings of International Reliability Physics Symposium (IRPS)}, month = {4}, year = {2020}, } @article{id529, title = {Via-Switch {FPGA}: 65nm {CMOS} Implementation and Architecture Extension for {AI} Applications}, author = {M. Hashimoto and X. Bai and N. Banno and M. Tada and T. Sakamoto and J. Yu and R. Doi and Y. Araki and H. Onodera and T. Imagawa and H. Ochi and K. Wakabayashi and Y. Mitsuyama and T. Sugibayashi}, journal = {Technical Digest of International Solid-State Circuits Conference (ISSCC)}, pages = {502--503}, month = {2}, year = {2020}, } @article{id528, title = {Soft Error and Its Countermeasures in Terrestrial Environment}, author = {M. Hashimoto and W. Liao}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, month = {1}, year = {2020}, } @article{id527, title = {When Single Event Upset Meets Deep Neural Networks: Observations, Explorations, and Remedies}, author = {Z. Yan and Y. Shi and W. Liao and M. Hashimoto and X. Zhou and C. Zhuo}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, month = {1}, year = {2020}, } @article{id523, title = {Distilling Knowledge for Non-Neural Networks}, author = {S. Fukui and J. Yu and M. Hashimoto}, journal = {Proceedings of Asia-Pacific Signal and Information Processing Association (APSIPA) Annual Summit and Conference (ASC)}, month = {11}, year = {2019}, } @article{id522, title = {Training Data Reduction using Support Vectors for Neural Networks}, author = {T. Tanio and J. Yu and M. Hashimoto}, journal = {Proceedings of Asia-Pacific Signal and Information Processing Association (APSIPA) Annual Summit and Conference (ASC)}, month = {11}, year = {2019}, } @article{id524, title = {A Design Space Exploration Method of {SoC} Architecture for {CNN-based} {AI} Platform}, author = {S. Sombatsiri and J. Yu and M. Hashimoto and Y. Takeuchi}, journal = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, month = {10}, year = {2019}, } @article{id521, title = {Measurement of Single-Event Upsets in {65-nm} Bulk {SRAMs} Under Irradiation of Spallation Neutrons at {J-PARC} {MLF}}, author = {J. Kuroda and S. Manabe and Y. Watanabe and K. Ito and W. Liao and M. Hashimoto and S. Abe and M. Harada and K. Oikawa and Y. Miyake}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2019}, } @article{id519, title = {Characterizing Neutron-Induced {SDC} Rate of Matrix Multiplication in Tesla P4 {GPU}}, author = {K. Ito and W. Liao and M. Hashimoto and J. Kuroda and S. Manabe and Y. Watanabe and S. Abe and M. Harada and K. Oikawa and Y. Miyake}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2019}, } @article{id518, title = {Irradiation Test of {65-nm} Bulk {SRAMs} with {DC} Muon Beam at {RCNP-MuSIC} Facility}, author = {T. Mahara and S. Manabe and Y. Watanabe and W. Liao and M. Hashimoto and T. Y. Saito and M. Niikura and K. Ninomiya and D. Tomono and A. Sato}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2019}, } @article{id520, title = {Impact of Incident Angle on Negative Muon-induced {SEU} Cross Section of {65-nm} Bulk {SRAM}}, author = {W. Liao and M. Hashimoto and S. Manabe and Y. Watanabe and S. Abe and M. Tampo and S. Takeshita and Y. Miyake}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2019}, } @article{id516, title = {Minimizing Power for Neural Network Training with Logarithm-Approximate Floating-Point Multiplier}, author = {T.-Y. Cheng and J. Yu and M. Hashimoto}, journal = {Proceedings of International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS)}, month = {7}, year = {2019}, } @article{id515, title = {Characterization of Chalcogenide Selectors for Crossbar Switch Used in Nonvolatile {FPGA}}, author = {H. Numata and N. Banno and K. Okamoto and N. Iguchi and H. Hada and M. Hashimoto and T. Sugibayashi and T. Sakamoto and M. Tada}, journal = {Proceedings of Silicon Nanoelectronics Workshop}, month = {6}, year = {2019}, } @article{id513, title = {A Frequency-Dependent Target Impedance Method Fulfilling Both Average and Dynamic Voltage Drop Constraints}, author = {J. Chen and M. Hashimoto}, journal = {Proceedings of IEEE Workshop on Signal and Power Integrity (SPI)}, month = {6}, year = {2019}, } @article{id510, title = {Activation-aware Slack Assignment {(ASA)} for Mode-wise Power Saving in High-End {ISP}}, author = {J. Nagayama and Y. Masuda and M. Takeshige and Y. Ogawa and M. Hashimoto and Y. Momiyama}, journal = {Design Automation Conference, Designer/IP Track}, month = {6}, year = {2019}, } @article{id500, title = {Negative and Positive Muon-induced {SEU} Cross Sections in {28-nm} and {65-nm} Planar Bulk {CMOS} {SRAMs}}, author = {W. Liao and M. Hashimoto and S. Manabe and Y. Watanabe and S. Abe and M. Tampo and S. Takeshita and Y. Miyake}, journal = {Proceedings of International Reliability Physics Symposium (IRPS)}, month = {4}, year = {2019}, } @article{id502, title = {Coverage-scalable Instant Tabletop Positioning System with Self-localizable Anchor Nodes}, author = {P. Chen and R. Shirai and M. Hashimoto}, journal = {Proceedings of International Conference on Intelligent User Interfaces (IUI)}, month = {3}, year = {2019}, } @article{id495, title = {Hardware Architecture for Fast General Object Detection using Aggregated Channel Features}, author = {K. Mitsunari and J. Yu and M. Hashimoto}, journal = {Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC)}, pages = {55-58}, month = {11}, year = {2018}, } @article{id485, title = {Sneak Path Free Reconfiguration of Via-switch Crossbars Based {FPGA}}, author = {R. Doi and J. Yu and M. Hashimoto}, journal = {Proceedings of ACM/IEEE International Conference on Computer-Aided Design (ICCAD)}, month = {11}, year = {2018}, } @article{id486, title = {Comparing Voltage Adaptation Performance between Replica and In-Situ Timing Monitors}, author = {Y. Masuda and J. Nagayama and H. Takeno and Y. Ogawa and Y. Momiyama and M. Hashimoto}, journal = {Proceedings of ACM/IEEE International Conference on Computer-Aided Design (ICCAD)}, month = {11}, year = {2018}, } @article{id496, title = {Characterizing soft error rates of {65-nm} {SOTB} and bulk {SRAMs} with muon and neutron beams (Invited)}, author = {M. Hashimoto and W. Liao and S. Manabe and Y. Watanabe}, journal = {Proceedings of SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S)}, month = {10}, year = {2018}, } @article{id484, title = {Impact of Irradiation Side on Neutron-induced Single Event Upsets in {65-nm} Bulk {SRAMs}}, author = {S. Abe and W. Liao and S. Manabe and T. Sato and M. Hashimoto and Y. Watanabe}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2018}, } @article{id482, title = {Estimation of Muon-Induced {SEU} Rates for {65-nm} Bulk and {UTBB-SOI} {SRAMs}}, author = {S. Manabe and Y. Watanabe and W. Liao and M. Hashimoto and S. Abe}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2018}, } @article{id483, title = {Similarity analysis on neutron- and negative moun-induced {MCUs} in {65-nm} bulk {SRAM}}, author = {W. Liao and M. Hashimoto and S. Manabe and S. Abe and Y. Watanabe}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2018}, } @article{id491, title = {Adapting Soft Cascade to MAC Operations of Convolutional Neural Networks}, author = {K. Itoh and J. Yu and M. Hashimoto}, journal = {Proceedings of International Symposium on Multimedia and Communication Technology (ISMAC)}, month = {8}, year = {2018}, } @article{id479, title = {Interconnect Delay Analysis for {RRAM} Crossbar based {FPGA} (Invited)}, author = {M. Hashimoto and Y. Nakazawa and R. Doi and J. Yu}, journal = {Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI)}, month = {7}, year = {2018}, } @article{id478, title = {{SAT} Encoding-based Verification of Sneak Path Problem in Via-switch {FPGA}}, author = {R. Doi and M. Hashimoto}, journal = {Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI)}, month = {7}, year = {2018}, } @article{id472, title = {{VirtualSync:} Timing Optimization by Synchronizing Logic Waves with Sequential and Combinational Components as Delay Units}, author = {L. Zhang and B. Li and M. Hashimoto. U. Schlichtmann}, journal = {Proceedings of Design Automation Conference (DAC)}, month = {6}, year = {2018}, } @article{id480, title = {A Multifunctional Sensor Node Sharing Coils in Wireless Power Supply, Wireless Communication and Distance Sensing Modes}, author = {R. Shirai and T. Hirose and M. Hashimoto}, journal = {Proceedings of International NEWCAS Conference}, pages = {152--156}, month = {6}, year = {2018}, } @article{id475, title = {An On-Chip Load Model for Off-Chip {PDN} Analysis Considering Interdependency Between Supply Voltage, Current Profile and Clock Latency}, author = {J. Chen and T. Kanamoto and H. Kando and M. Hashimoto}, journal = {Proceedings of IEEE Workshop on Signal and Power Integrity (SPI)}, month = {5}, year = {2018}, } @article{id466, title = {Hold Violation Analysis for Functional Test of Ultra-Low Temperature Circuits at Room Temperature}, author = {T. Nakayama and M. Hashimoto}, journal = {Proceedings of International Symposium on VLSI Design, Automation and Test (VLSI-DAT)}, month = {4}, year = {2018}, } @article{id467, title = {Near-Future Traffic Evaluation based Navigation for Automated Driving Vehicles Considering Traffic Uncertainties}, author = {K.-W. Lin and M. Hashimoto and Y.-L. Li}, journal = {Proceedings of International Symposium on Quality Electronic Design (ISQED)}, month = {3}, year = {2018}, } @article{id468, title = {{MTTF-aware} Design Methodology for Adaptive Voltage Scaling (Invited)}, author = {M. Hashimoto and Y. Masuda}, journal = {Proceedings of China Semiconductor Technology International Conference (CSTIC)}, month = {3}, year = {2018}, } @article{id461, title = {{MTTF-aware} Design Methodology of Error Prediction Based Adaptively Voltage-scaled Circuits}, author = {Y. Masuda and M. Hashimoto}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, month = {1}, year = {2018}, } @article{id460, title = {Toward Real-time {3D} Modeling System with Cubic-Millimeters Wireless Sensor Nodes (Invited)}, author = {M. Hashimoto and R. Shirai and Y. Itoh and T. Hirose}, journal = {Proceedings of IEEE International Conference on ASIC}, pages = {1087--1091}, month = {10}, year = {2017}, } @article{id451, title = {Dedicated Antenna Less Power Efficient {OOK} Transmitter for {mm-Cubic} {IoT} Nodes}, author = {R. Shirai and T. Hirose and M. Hashimoto}, journal = {Proceedings of European Microwave Conference (EuMC)}, pages = {101--104}, month = {10}, year = {2017}, } @article{id454, title = {Momentum and Supply Voltage Dependencies of {SEUs} Induced by Low-energy Negative and Positive Muons in {65-nm} {UTBB-SOI} {SRAMs}}, author = {S. Manabe and Y. Watanabe and W. Liao and M. Hashimoto and K. Nakano and H. Sato and T. Kin and K. Hamada and M. Tampo and Y. Miyake}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {10}, year = {2017}, } @article{id453, title = {Measurement and Mechanism Investigation of Negative and Positive Muon Induced Upsets in 65nm Bulk {SRAMs}}, author = {W. Liao and M. Hashimoto and S. Manabe and Y. Watanabe and K. Nakano and H. Sato and T. Kin and K. Hamada and M. Tampo and Y. Miyake}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {10}, year = {2017}, } @article{id459, title = {Soft Error Rate Estimation with {TCAD} and Machine Learning (Invited)}, author = {M. Hashimoto and W. Liao and S. Hirokawa}, journal = {Proceedings of International Conference on Simulation of Semiconductor Processes and Devices (SISPAD)}, month = {9}, year = {2017}, } @article{id449, title = {Near-Future Traffic Evaluation based Navigation for Automated Driving Vehicles}, author = {K.-W. Lin and Y.-L. Li and M. Hashimoto}, journal = {Proceedings of IEEE Intelligent Vehicles Symposium (IV)}, pages = {1465--1470}, month = {6}, year = {2017}, } @article{id452, title = {Contributions of {SRAM}, {FF} and Combinational Circuit to Chip-Level Neutron-Induced Soft Error Rate -- Bulk {vs.} {FD-SOI} at 0.5 and {1.0V} --}, author = {W. Liao and S. Hirokawa and R. Harada and M. Hashimoto}, journal = {Proceedings of International NEWCAS Conference}, pages = {33-37}, month = {6}, year = {2017}, } @article{id447, title = {Near-Field Dual-Use Antenna for Magnetic-Field Based Communication and Electrical-Field Based Distance Sensing in {mm^3-Class} Sensor Node}, author = {R. Shirai and J. Kono and T. Hirose and M. Hashimoto}, journal = {Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS)}, pages = {124--127}, month = {5}, year = {2017}, } @article{id450, title = {Impedance Matching in Magnetic-Coupling-Resonance Wireless Power Transfer for Small Implantable Devices}, author = {S. Masuda and T. Hirose and Y. Akihara and N. Kuroki and M. Numa and M. Hashimoto}, journal = {Proceedings of IEEE Wireless Power Transfer Conference (WPTC)}, month = {5}, year = {2017}, } @article{id444, title = {{GPGPU-based} Highly Parallelized {3D} Node Localization for Real-Time {3D} Model Reproduction}, author = {K. Hirosue and S. Ukawa and Y. Itoh and T. Onoye and M. Hashimoto}, journal = {Proceedings of International Conference on Intelligent User Interfaces (IUI)}, pages = {173--178}, month = {3}, year = {2017}, } @article{id443, title = {50x20 Crossbar Switch Block {(CSB)} with Two-Varistors {(a-Si/SiN/a-Si)} selected Complementary Atom Switch for a highly-dense Reconfigurable Logic}, author = {N. Banno and M. Tada and K. Okamoto and N. Iguchi and T. Sakamoto and H. Hada and H. Ochi and H. Onodera and M. Hashimoto and T. Sugibayashi}, journal = {Technical Digest of IEEE International Electron Devices Meeting (IEDM)}, month = {12}, year = {2016}, } @article{id434, title = {Critical Path Isolation for Time-to-Failure Extension and Lower Voltage Operation}, author = {Y. Masuda and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Conference on Computer-Aided Design (ICCAD)}, month = {11}, year = {2016}, } @article{id442, title = {Efficient Standard Cell Layout Synthesis Algorithm Considering Various Driving Strengths}, author = {H.-Y. Su and B.-S. Wang and S.-Y. Hsieh and Y.-L. Li and I-H. Wu and C.-C. Wu and W.-C. Shih and H. Onodera and M. Hashimoto}, journal = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, month = {10}, year = {2016}, } @article{id438, title = {Highly-Efficient Power Transmitter Coil Design for Small Wireless Sensor Nodes}, author = {S. Masuda and T. Hirose and Y. Akihara and N. Kuroki and M. Numa and M. Hashimoto}, journal = {Proceedings of International Symposium on Antennas and Propagation (ISAP)}, month = {10}, year = {2016}, } @article{id437, title = {Analytical Study of Rectifier Circuit for Wireless Power Transfer Systems}, author = {Y. Akihara and T. Hirose and S. Masuda and N. Kuroki and M. Numa and M. Hashimoto}, journal = {Proceedings of International Symposium on Antennas and Propagation (ISAP)}, month = {10}, year = {2016}, } @article{id435, title = {Multiple Sensitive Volume Based Soft Error Rate Estimation with Machine Learning}, author = {S. Hirokawa and R. Harada and K. Sakuta and Y. Watanabe and M. Hashimoto}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2016}, } @article{id433, title = {Novel processor architecture for onboard infrared sensors (Invited)}, author = {H. Hihara and A. Iwasaki and N. Tamagawa and M. Kuribayashi and M. Hashimoto and Y. Mitsuyama and H. Ochi and H. Onodera and H. Kanbara and K. Wakabayashi and T. Sugibayashi}, journal = {Proceedings of SPIE Infrared Remote Sensing and Instrumentation XXIV}, volume = {9973}, month = {8}, year = {2016}, } @article{id436, title = {A Highly-dense Mixed Grained Reconfigurable Architecture with Overlay Crossbar Interconnect using Via-switch}, author = {J. Hotate and T. Kishimoto and T. Higashi and H. Ochi and R. Doi and M. Tada and T. Sugibayashi and K. Wakabayashi and H. Onodera and Y. Mitsuyama and M. Hashimoto}, journal = {Proceedings of International Conference on Field Programmable Logic and Applications (FPL)}, month = {8}, year = {2016}, } @article{id431, title = {Hardware-Simulation Correlation of Timing Error Detection Performance of Software-based Error Detection Mechanisms}, author = {Y. Masuda and M. Hashimoto and T. Onoye}, journal = {Proceedings of International On-Line Testing Symposium (IOLTS)}, pages = {84--89}, month = {7}, year = {2016}, } @article{id432, title = {Latch Clustering for Minimizing Detection-to-Boosting Latency Toward Low-Power Resilient Circuits}, author = {C.-C. Hsu and M. P.-H. Lin and M. Hashimoto}, journal = {Proceedings of System Level Interconnect Prediction (SLIP) Workshop}, month = {6}, year = {2016}, } @article{id428, title = {Highly-dense Mixed Grained Reconfigurable Architecture with Via-switch}, author = {R. Doi and J. Hotate and T. Kishimoto and T. Higashi and H. Ochi and M. Tada and T. Sugibayashi and K. Wakabayashi and H. Onodera and Y. Mitsuyama and M. Hashimoto}, journal = {ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)}, month = {3}, year = {2016}, } @article{id427, title = {Measurement of Timing Error Detection Performance of Software-based Error Detection Mechanisms and Its Correlation with Simulation}, author = {Y. Masuda and M. Hashimoto and T. Onoye}, journal = {ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)}, month = {3}, year = {2016}, } @article{id426, title = {Reliability, Adaptability and Flexibility in Timing: Buy a Life Insurance for Your Circuits (Invited)}, author = {U. Schlichtmann and M. Hashimoto and I. H.-R. Jiang and B. Li}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {705--711}, month = {1}, year = {2016}, } @article{id422, title = {A Novel Two-Varistors {(a-Si/SiN/a-Si)} selected Complementary Atom Switch {(2V-1CAS)} for Nonvolatile Crossbar Switch with Multiple Fan-outs}, author = {N. Banno and M.Tada and K. Okamoto and N. Iguchi and T. Sakamoto and M. Miyamura and Y. Tsuji and H. Hada and H. Ochi and H. Onodera and M. Hashimoto and T. Sugibayashi}, journal = {Technical Digest of IEEE International Electron Devices Meeting (IEDM)}, pages = {32--35}, month = {12}, year = {2015}, } @article{id423, title = {An Analytic Evaluation on Soft Error Immunity Enhancement due to Temporal Triplication}, author = {R. Doi and M. Hashimoto and T. Onoye}, journal = {Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing (PRDC)}, month = {11}, year = {2015}, } @article{id419, title = {Performance Evaluation of Software-based Error Detection Mechanisms for Localizing Electrical Timing Failures under Dynamic Supply Noise}, author = {Y. Masuda and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Conference on Computer-Aided Design (ICCAD)}, pages = {315-322}, month = {11}, year = {2015}, } @article{id420, title = {Stochastic Timing Error Rate Estimation under Process and Temporal Variations}, author = {S. Iizuka and Y. Masuda and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Test Conference (ITC)}, month = {10}, year = {2015}, } @article{id421, title = {A Wireless Power Transfer System for Small-Sized Sensor Applications}, author = {Y. Akihara and T. Hirose and Y. Tanaka and N. Kuroki and M. Numa and M. Hashimoto}, journal = {Proceedings of International Conference on Solid State Devices and Materials (SSDM)}, pages = {154--155}, month = {9}, year = {2015}, } @article{id416, title = {Real-time On-chip Supply Voltage Sensor and Its Application to Trace-based Timing Error Localization}, author = {M. Ueno and M. Hashimoto and T. Onoye}, journal = {Proceedings of International On-Line Testing Symposium (IOLTS)}, pages = {188--193}, month = {7}, year = {2015}, } @article{id413, title = {Neutron-Induced {SEU} and {MCU} Rate Characterization and Analysis of {SOTB} and Bulk {SRAMs} at {0.3V} Operation}, author = {S. Hirokawa and R. Harada and M. Hashimoto and K. Sakuta and Y. Watanabe}, journal = {IEEE Nuclear and Space Radiation Effects Conference (NSREC)}, month = {7}, year = {2015}, } @article{id414, title = {Run-time Performance Adaptation: Opportunities and Challenges (Invited)}, author = {M. Hashimoto}, journal = {Proceedings of IEEE Conference on Electron Devices and Solid-State Circuits (EDSSC)}, month = {6}, year = {2015}, } @article{id401, title = {Investigation of Single Event Upset and Total Ionizing Dose in {FeRAM} for Medical Electronic Tag}, author = {T. Uemura and M. Hashimoto}, journal = {Proceedings of International Symposium on Reliability Physics (IRPS)}, month = {4}, year = {2015}, } @article{id402, title = {Soft Error Immune Latch Design for 20 {nm} bulk {CMOS}}, author = {T. Uemura and S. Okano and T. Kato and H. Matsuyama and M. Hashimoto}, journal = {Proceedings of International Reliability Physics Symposium (IRPS)}, month = {4}, year = {2015}, } @article{id400, title = {Impact of Package on Neutron Induced Single Event Upset in 20 {nm} {SRAM}}, author = {T. Uemura and T. Kato and S. Okano and H. Matsuyama and M. Hashimoto}, journal = {Proceedings of International Symposium on Reliability Physics (IRPS)}, month = {4}, year = {2015}, } @article{id399, title = {{3D} Node Localization from Node-to-Node Distance Information using Cross-Entropy Method}, author = {S. Ukawa and T. Shinada and M. Hashimoto and Y. Itoh and T. Onoye}, journal = {Proceedings of Virtual Reality Conference (VR)}, month = {3}, year = {2015}, } @article{id395, title = {Reliability-Configurable Mixed-Grained Reconfigurable Array Compatible with High-Level Synthesis}, author = {M. Hashimoto and D. Alnajjar and H. Konoura and Y. Mitsuyama and H. Shimada and K. Kobayashi and H. Kanbara and H. Ochi and T. Imagawa and K. Wakabayashi and T. Onoye and H. Onodera}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {14--15}, month = {1}, year = {2015}, } @article{id393, title = {Area Efficient Device-Parameter Estimation using Sensitivity-Configurable Ring Oscillator}, author = {S. Iizuka and Y. Higuchi and M. Hashimoto and T. Onoye}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {731--736}, month = {1}, year = {2015}, } @article{id396, title = {An Oscillator-based True Random Number Generator with Process and Temperature Tolerance}, author = {T. Amaki and M. Hashimoto and T. Onoye}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {4--5}, month = {1}, year = {2015}, } @article{id394, title = {Opportunities and Verification Challenges of Run-time Performance Adaptation (Invited)}, author = {M. Hashimoto}, journal = {Proceedings of Asian Test Symposium (ATS)}, pages = {248--253}, month = {11}, year = {2014}, } @article{id390, title = {Stochastic Verification of Run-time Performance Adaptation with Field Delay Testing (Invited)}, author = {M. Hashimoto}, journal = {Proceedings of Asia Pacific Conference on Circuits and Systems (APCCAS)}, pages = {751--754}, month = {11}, year = {2014}, } @article{id385, title = {Toward Robust Subthreshold Circuit Design: Variability and Soft Error Perspective (Invited)}, author = {M. Hashimoto}, journal = {Proceedings of SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S)}, month = {10}, year = {2014}, } @article{id381, title = {Feasibility Evaluation on an Instant Invader Detection System with Ultrasonic Sensors Scattered on the Ground}, author = {A. Iokibe and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Conference on Sensing Technology (ICST)}, pages = {188--193}, month = {9}, year = {2014}, } @article{id379, title = {Measurement of Alpha- and Neutron-Induced {SEU} and {MCU} on {SOTB} and Bulk 0.4 V {SRAMs}}, author = {R. Harada and S. Hirokawa and M. Hashimoto}, journal = {IEEE Nuclear and Space Radiation Effects Conference (NSREC)}, month = {7}, year = {2014}, } @article{id378, title = {Optimizing Well-Configuration for Minimizing Single Event Latchup}, author = {T. Uemura and T. Kato and R. Tanabe and H. Iwata and J. Ariyoshi and H. Matsuyama and M. Hashimoto}, journal = {IEEE Nuclear and Space Radiation Effects Conference (NSREC)}, month = {7}, year = {2014}, } @article{id377, title = {Preventing Single Event Latchup with Deep P-well on P-substrate}, author = {T. Uemura and T. Kato and R. Tanabe and H. Iwata and H. Matsuyama and M. Hashimoto and K. Takahisa and M. Fukuda and K. Hatanaka}, journal = {Proceedings of International Reliability Physics Symposium (IRPS)}, month = {6}, year = {2014}, } @article{id370, title = {Trace-based fault localization with supply voltage sensor }, author = {M. Ueno and M. Hashimoto and T. Onoye}, journal = {ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)}, pages = {77--81}, month = {3}, year = {2014}, } @article{id364, title = {Mixed-grained reconfigurable architecture supporting flexible reliability and C-based design}, author = {H. Konoura and D. Alnajjar and Y. Mitsuyama and H. Ochi and T. Imagawa and S. Noda and K. Wakabayashi and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Conference on ReConFigurable Computing and FPGAs (ReConFig)}, month = {12}, year = {2013}, } @article{id363, title = {Reliability-Configurable Mixed-Grained Reconfigurable Array Supporting C-to-Array Mapping and Its Radiation Testing}, author = {D. Alnajjar and H. Konoura and Y. Mitsuyama and H. Shimada and K. Kobayashi and H. Kanbara and H. Ochi and T. Imagawa and S. Noda and K. Wakabayashi and M. Hashimoto and T. Onoye and H. Onodera}, journal = {Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC)}, pages = {313--316}, month = {11}, year = {2013}, } @article{id361, title = {Feasibility Evaluation of Near-Field Communication in Clay with {1-mm^3} Antenna}, author = {J. Kono and M. Hashimoto and T. Onoye}, journal = {Proceedings of Asia-Pacific Microwave Conference (APMC)}, pages = {1121--1123}, month = {11}, year = {2013}, } @article{id367, title = {{NBTI} Characterization Using Pulse-Width Modulation}, author = {R. Harada and M. Hashimoto and T. Onoye}, journal = {IEEE/ACM Workshop on Variability Modeling and Characterization}, month = {11}, year = {2013}, } @article{id352, title = {Stochastic Error Rate Estimation for Adaptive Speed Control with Field Delay Testing}, author = {S. Iizuka and M. Mizuno and D. Kuroda and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Conference on Computer-Aided Design (ICCAD)}, pages = {107--114}, month = {11}, year = {2013}, } @article{id362, title = {A Process and Temperature Tolerant Oscillator-based True Random Number Generator with Dynamic 0/1 Bias Correction}, author = {T. Amaki and M. Hashimoto and T. Onoye}, journal = {Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC)}, pages = {133--136}, month = {11}, year = {2013}, } @article{id366, title = {Soft Error Immunity of Subthreshold {SRAM} (Invited)}, author = {M. Hashimoto}, journal = {Proceedings of IEEE International Conference on ASIC}, pages = {91--94}, month = {10}, year = {2013}, } @article{id348, title = {Mitigating Multi-Cell-Upset with Well-Slits in 28nm Multi-Bit-Latch}, author = {T. Uemura and T. Kato and H. Matsuyama and M. Hashimoto}, journal = {IEEE Nuclear and Space Radiation Effects Conference (NSREC)}, month = {7}, year = {2013}, } @article{id346, title = {Scaling Trend of {SRAM} and {FF} of Soft-Error Rate and Their Contribution to Processor reliability on Bulk {CMOS} Technology}, author = {T. Uemura and T. Kato and H. Matsuyama and M. Hashimoto}, journal = {IEEE Nuclear and Space Radiation Effects Conference (NSREC)}, month = {7}, year = {2013}, } @article{id347, title = {Soft-Error in {SRAM} at Ultra Low Voltage and Impact of Secondary Proton in Terrestrial Environment}, author = {T. Uemura and T. Kato and H. Matsuyama and M. Hashimoto}, journal = {IEEE Nuclear and Space Radiation Effects Conference (NSREC)}, month = {7}, year = {2013}, } @article{id350, title = {Proximity Distance Estimation Based on Capacitive Coupling Between 1mm^3 Sensor Nodes}, author = {T. Shinada and M. Hashimoto and T. Onoye}, journal = {Proceedings of International NEWCAS Conference}, month = {6}, year = {2013}, } @article{id342, title = {Real-time Supply Voltage Sensor for Detecting/Debugging Electrical Timing Failures}, author = {M. Ueno and M. Hashimoto and T. Onoye}, journal = {Proceedings of Reconfigurable Architectures Workshop (RAW)}, pages = {301--305}, month = {5}, year = {2013}, } @article{id344, title = {Extracting Device-Parameter Variations using a Single Sensitivity-Configurable Ring Oscillator}, author = {Y. Higuchi and K. Shinkai and M. Hashimoto and R. Rao and S. Nassif}, journal = {Proceedings of IEEE European Test Symposium (ETS)}, pages = {106--111}, month = {5}, year = {2013}, } @article{id349, title = {Robust Subthreshold Circuit Design to Manufacturing and Environmental Variability (Invited)}, author = {M. Hashimoto}, journal = {China Semiconductor Technology International Conference (CSTIC)}, pages = {1079--1084}, month = {3}, year = {2013}, } @article{id328, title = {Static Voltage Over-scaling and Dynamic Voltage Variation Tolerance with Replica Circuits and Time Redundancy in Reconfigurable Devices}, author = {D. Alnajjar and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Conference on ReConFigurable Computing and FPGAs (ReConFig)}, month = {12}, year = {2012}, } @article{id327, title = {Signal-Dependent Analog-to-Digital Converter Based on {MINIMAX} Sampling}, author = {I. Homjakovs and M. Hashimoto and T. Hirose and T. Onoye}, journal = {Proceedings of International SoC Design Conference (ISOCC)}, pages = {120 -- 123}, month = {11}, year = {2012}, } @article{id319, title = {Impact of {NBTI-Induced} Pulse-Width Modulation on {SET} Pulse-Width Measurement}, author = {R. Harada and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS)}, month = {9}, year = {2012}, } @article{id318, title = {A Predictive Delay Fault Avoidance Scheme for Coarse-Grained Reconfigurable Architecture}, author = {T. Kameda and H. Konoura and D. Alnajjar and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Conference on Field Programmable Logic and Applications (FPL)}, month = {8}, year = {2012}, } @article{id316, title = {Angular Dependency of Neutron Induced Multiple Cell Upsets in {65-nm} {10T} Subthreshold {SRAM}}, author = {R. Harada and S. Abe and H. Fuketa and T. Uemura and M. Hashimoto and Y. Watanabe}, journal = {IEEE Nuclear and Space Radiation Effects Conference (NSREC)}, month = {7}, year = {2012}, } @article{id315, title = {{SET} Pulse-Width Measurement Eliminating Pulse-Width Modulation and Within-die Process Variation Effects}, author = {R. Harada and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Reliability Physics Symposium (IRPS)}, month = {4}, year = {2012}, } @article{id311, title = {Body Bias Clustering for Low Test-Cost Post-Silicon Tuning }, author = {S. Kimura and M. Hashimoto and T. Onoye}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {283--289}, month = {2}, year = {2012}, } @article{id301, title = {Implications of reliability enhancement achieved by fault avoidance on dynamically reconfigurable architecture}, author = {H. Konoura and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Conference on Field Programmable Logic and Applications (FPL)}, pages = {189--194}, month = {9}, year = {2011}, } @article{id308, title = {{NBTI} Mitigation by Giving Random Scan-in Vectors during Standby Mode}, author = {T. Kameda and H. Konoura and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS)}, pages = {152--161}, month = {9}, year = {2011}, } @article{id303, title = {Power Gating Implementation for Noise Mitigation with Body-Tied Triple-Well Structure}, author = {Y. Takai and M. Hashimoto and T. Onoye}, journal = {Proceedings of IEEE Custom Integrated Circuits Conference (CICC)}, month = {9}, year = {2011}, } @article{id300, title = {Signal-Dependent Analog-to-Digital Conversion based on {MINIMAX} Sampling}, author = {I. Homjakovs and M. Hashimoto and T. Hirose and T. Onoye}, journal = {Proceedings of International Midwest Symposium on Circuits and Systems (MWSCAS)}, month = {8}, year = {2011}, } @article{id299, title = { Adaptive Performance Compensation with On-Chip Variation Monitoring (invited)}, author = {M. Hashimoto and H. Fuketa}, journal = {Proceedings of International Midwest Symposium on Circuits and Systems (MWSCAS)}, month = {8}, year = {2011}, } @article{id293, title = {An Oscillator-Based True Random Number Generator with Jitter Amplifier}, author = {T. Amaki and M. Hashimoto and T. Onoye}, journal = {Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS)}, pages = {725--728}, month = {5}, year = {2011}, } @article{id291, title = {Neutron Induced Single Event Multiple Transients With Voltage Scaling and Body Biasing}, author = {R. Harada and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Reliability Physics Symposium (IRPS)}, pages = {253--257}, month = {4}, year = {2011}, } @article{id295, title = {Body Bias Clustering for Low Test-Cost Post-Silicon Tuning}, author = {S. Kimura and M. Hashimoto and T. Onoye}, journal = {ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)}, pages = {46--51}, month = {4}, year = {2011}, } @article{id297, title = {{MTTF} Measurement Under Alpha Particle Radiation in a Coarse-Grained Reconfigurable Architecture with Flexible Reliability}, author = {D. Alnajjar and H. Kounoura and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {IEEE Workshop on Silicon Errors in Logic - System Effects (SELSE)}, month = {3}, year = {2011}, } @article{id294, title = {Extracting Device-Parameter Variations with {RO-Based} Sensors}, author = {K. Shinkai and M. Hashimoto and T. Onoye}, journal = {ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)}, pages = {13--18}, month = {3}, year = {2011}, } @article{id282, title = {Device-Parameter Estimation with On-chip Variation Sensors Considering Random Variability}, author = {K. Shinkai and M. Hashimoto}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {683--688}, month = {1}, year = {2011}, } @article{id289, title = {Run-Time Adaptive Performance Compensation using On-chip Sensors (Invited)}, author = {M. Hashimoto}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {285--290}, month = {1}, year = {2011}, } @article{id283, title = {Jitter Amplifier for Oscillator-Based True Random Number Generator}, author = {T. Amaki and M. Hashimoto and T. Onoye}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {81--82}, month = {1}, year = {2011}, } @article{id278, title = {Evaluation of Power Gating Structures Focusing on Power Supply Noise with Measurement and Simulation}, author = {Y. Takai and M. Hashimoto and T. Onoye}, journal = {Proceedings of IEEE Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS)}, pages = {213--216}, month = {10}, year = {2010}, } @article{id272, title = {Setup Time, Hold Time and Clock-to-Q Delay Computation under Dynamic Supply Noise}, author = {T. Okumura and M. Hashimoto}, journal = {Proceedings of IEEE Custom Integrated Circuits Conference (CICC)}, month = {9}, year = {2010}, } @article{id273, title = {A Design Procedure for Oscillator-Based Hardware Random Number Generator with Stochastic Behavior Modeling}, author = {T. Amaki and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {Proceedings of International Workshop on Information Security Applications (WISA)}, pages = {107-121}, month = {8}, year = {2010}, } @article{id260, title = {Alpha-Particle-Induced Soft Errors and Multiple Cell Upsets in {65-nm} {10T} Subthreshold {SRAM}}, author = {H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {Proceedings of International Reliability Physics Symposium (IRPS)}, pages = {213--217}, month = {5}, year = {2010}, } @article{id266, title = {Clock Skew Reduction by Self-Compensating Manufacturing Variability with On-chip Sensors}, author = {S. Abe and K. Shinkai and M. Hashimoto and T. Onoye}, journal = {ACM Great Lake Symposium on VLSI (GLSVLSI)}, pages = {197--202}, month = {5}, year = {2010}, } @article{id270, title = {Measurement of On-chip I/O Power Supply Noise and Correlation Verification between Noise Magnitude and Delay Increase due to {SSO}}, author = {Y. Takai and Y. Ogasahara and M. Hashimoto and T. Onoye}, journal = {Proceedings of IEEE Workshop on Signal Propagation on Interconnects (SPI)}, pages = {19--20}, month = {5}, year = {2010}, } @article{id269, title = {A 16-bit {RISC} Processor with {4.18pJ/cycle} at {0.5V} Operation}, author = {D. Kuroda and H. Fuketa and M. Hashimoto and T. Onoye}, journal = {Proceedings of IEEE COOL Chips}, pages = {190}, month = {4}, year = {2010}, } @article{id258, title = {Comparative study on delay degrading estimation due to {NBTI} with circuit/instance/transistor-level stress probability consideration}, author = {H. Konoura and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Symposium on Quality Electronic Design (ISQED)}, pages = {646--651}, month = {3}, year = {2010}, } @article{id259, title = {Measurement Circuits for Acquiring {SET} Pulse Width Distribution with {Sub-FO1-inverter-delay} Resolution}, author = {R. Harada and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Symposium on Quality Electronic Design (ISQED)}, pages = {839--844}, month = {3}, year = {2010}, } @article{id265, title = {Clock Skew Reduction by Self-Compensating Manufacturing Variability with On-chip Sensors}, author = {S. Abe and K. Shinkai and M. Hashimoto and T. Onoye}, journal = {ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)}, pages = {89--94}, month = {3}, year = {2010}, } @article{id264, title = {Statistical Timing Analysis Considering Clock Jitter and Skew due to Power Supply Noise and Process Variation}, author = {T. Enami and K. Shinkai and S. Ninomiya and S. Abe and M. Hashimoto}, journal = {ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)}, pages = {41--46}, month = {3}, year = {2010}, } @article{id256, title = {Adaptive Performance Control with Embedded Timing Error Predictive Sensors for Subthreshold Circuits}, author = {H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {361 -- 362}, month = {1}, year = {2010}, } @article{id255, title = {Gate Delay Estimation in {STA} under Dynamic Power Supply Noise}, author = {T. Okumura and F. Minami and K. Shimazaki and K. Kuwada and M. Hashimoto}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {775 -- 780}, month = {1}, year = {2010}, } @article{id253, title = {Soft Error Resilient {VLSI} Architecture for Signal Processing}, author = {D. Alnajjar and Y. Ko and T. Imagawa and M. Hiromoto and Y. Mitsuyama and M. Hashimoto and H. Ochi and T. Onoye}, journal = {Proceedings of IEEE International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS)}, pages = {183--186}, month = {12}, year = {2009}, } @article{id245, title = {Adaptive Performance Compensation with In-Situ Timing Error Prediction for Subthreshold Circuits}, author = {H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {Proceedings of IEEE Custom Integrated Circuits Conference (CICC)}, pages = {215--218}, month = {9}, year = {2009}, } @article{id244, title = {Enhancement of Grid-based Spatially-Correlated Variability Modeling for Improving {SSTA} Accuracy}, author = {S. Ninomiya and M. Hashimoto}, journal = {Proceedings of IEEE International SOC Conference (SOCC)}, pages = {337--340}, month = {9}, year = {2009}, } @article{id243, title = {Coarse-grained Dynamically Reconfigurable Architecture with Flexible Reliability}, author = {D. Alnajjar and Y. Ko and T. Imagawa and H. Konoura and M. Hiromoto and Y. Mitsuyama and M. Hashimoto and H. Ochi and T. Onoye}, journal = {Proceedings of International Conference on Field Programmable Logic and Applications (FPL)}, pages = {186--192}, month = {8}, year = {2009}, } @article{id242, title = {Tuning-Friendly Body Bias Clustering for Compensating Random Variability in Subthreshold Circuits}, author = {K. Hamamoto and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {Proceedings of IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)}, pages = {51--56}, month = {8}, year = {2009}, } @article{id237, title = {A Coarse-Grained Dynamically Reconfigurable Architecture Enabling Flexible Reliability}, author = {D. Alnajjar and Y. Ko and T. Imagawa and M. Hiromoto and Y. Mitsuyama and M. Hashimoto and H. Ochi and T. Onoye}, journal = {Proceedings of IEEE Workshop on System Effects of Logic Soft Errors (SELSE)}, month = {3}, year = {2009}, } @article{id229, title = {A Case for Exploiting Complex Arithmetic Circuits towards Performance Yield Enhancement}, author = {S. Watanabe and M. Hashimoto and T. Sato}, journal = {Proceedings of International Symposium on Quality Electronic Design (ISQED)}, pages = {401--407}, month = {3}, year = {2009}, } @article{id231, title = {Coarse-Grained Dynamically Reconfigurable Architecture with Flexible Reliability}, author = {Y. Ko and D. Alnajjar and Y. Mitsuyama and M. Hashimoto and T. Onoye}, journal = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, pages = {236--241}, month = {3}, year = {2009}, } @article{id232, title = {A Gate Delay Model over Wide-Range of Process and Environmental Variability}, author = {K. Shinkai and M. Hashimoto}, journal = {ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)}, pages = {79--84}, month = {2}, year = {2009}, } @article{id225, title = {Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction}, author = {H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {266-271}, month = {1}, year = {2009}, } @article{id224, title = {High Performance On-Chip Differential Signaling Using Passive Compensation for Global Communication}, author = {L. Zhang and Y. Zhang and A. Tsuchiya and M. Hashimoto and E. Kuh and C-K Cheng}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {385--390}, month = {1}, year = {2009}, } @article{id222, title = {Vth Variation Modeling and Its Validation with Ring Oscillation Frequencies for Body-biased Circuits and Subthreshold Circuits}, author = {H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {Proceedings of Workshop on Test Structure Design for Variability Characterization}, month = {11}, year = {2008}, } @article{id216, title = {Decoupling Capacitance Allocation for Timing with Statistical Noise Model and Timing Analysis}, author = {T. Enami and M. Hashimoto and T. Sato}, journal = {Proceedings of ACM/IEEE International Conference on Computer-Aided Design (ICCAD)}, pages = {420--425}, month = {11}, year = {2008}, } @article{id217, title = {Measurement of Supply Noise Suppression by Substrate and Deep N-well in 90nm Process}, author = {Y. Ogasahara and M. Hashimoto and T. Kanamoto and T. Onoye}, journal = {Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC)}, pages = {397--400}, month = {11}, year = {2008}, } @article{id221, title = {On-Chip High Performance Signaling using Passive Compensation}, author = {Y. Zhang and L. Zhang and A. Tsuchiya and M. Hashimoto and C.-K. Cheng}, journal = {Proceedings of IEEE International Conference on Computer Design (ICCD)}, pages = {182-187}, month = {10}, year = {2008}, } @article{id210, title = {Correlation verification between transistor variability model with body biasing and ring oscillation frequency in 90nm subthreshold circuits}, author = {H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {Proceedings of IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)}, pages = {3--8}, month = {8}, year = {2008}, } @article{id215, title = {A Design Method of Finding Optimal Sampling Pulse and Transistor Size in a Sampling Circuit for Liquid Crystal Displays}, author = {S. Takahashi and S. Tsukiyama and M. Hashimoto and I. Shirakawa}, journal = {In Proceedings of International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC)}, month = {6}, year = {2008}, } @article{id213, title = {Cascading Dependent Operations for Mitigating Timing Variability}, author = {S. Watanabe and M. Hashimoto and T. Sato}, journal = {Proceedings. of Workshop on Quality-Aware Design (W-QUAD)}, month = {6}, year = {2008}, } @article{id202, title = {Experimental Study on Body-Biasing Layout Style -- Negligible Area Overhead Enables Sufficient Speed Controllability --}, author = {K. Hamamoto and H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {Proceedings of ACM Great Lake Symposium on VLSI (GLSVLSI)}, pages = {387--390}, month = {5}, year = {2008}, } @article{id197, title = {Statistical Timing Analysis Considering Spatially and Temporally Correlated Dynamic Power Supply Noise}, author = {T. Enami and S. Ninomiya and M. Hashimoto}, journal = {Proceedings of ACM International Symposium on Physical Design (ISPD)}, pages = {160-167}, month = {4}, year = {2008}, } @article{id199, title = {Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution}, author = {S. Abe and M. Hashimoto and T. Onoye}, journal = {Proceedings of International Symposium on Quality Electronic Design (ISQED)}, pages = {520--525}, month = {3}, year = {2008}, } @article{id204, title = {High Performance Current-Mode Differential Logic}, author = {L. Zhang and J. Liu and H. Zhu and C-K Cheng and M. Hashimoto}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {720--725}, month = {1}, year = {2008}, } @article{id192, title = {Dynamic Supply Noise Measurement Circuit Composed of Standard Cells Suitable for In-Site {SoC} Power Integrity Verification}, author = {Y. Ogasahara and M. Hashimoto and T. Onoye}, journal = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {107--108}, month = {1}, year = {2008}, } @article{id188, title = {A study on body-biasing layout style focusing on area efficiency and speed}, author = {K. Hamamoto and H. Fuketa and M. Hashimoto and Y. Mitsuyama and T. Onoye}, journal = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, pages = {233-237}, month = {10}, year = {2007}, } @article{id184, title = {Analytical Eye-diagram Model for On-chip Distortionless Transmission Lines and Its Application to Design Space Exploration}, author = {M. Hashimoto and J. Siriporn and A. Tsuchiya and H. Zhu and Chung-Kuan Cheng}, journal = {Proceedings of IEEE Custom Integrated Circuits Conference (CICC)}, pages = {869--872}, month = {9}, year = {2007}, } @article{id182, title = {Impact of Well Edge Proximity Effect on Timing}, author = {T. Kanamoto and Y. Ogasahara and K. Natsume and K. Yamaguchi and H. Amishiro and T. Watanabe and M. Hashimoto}, journal = {Proceedings of 37th European Solid-State Device Research Conference (ESSDERC)}, pages = {115--118}, month = {9}, year = {2007}, } @article{id183, title = {Dynamic Supply Noise Measurement with All Digital Gated Oscillator for Evaluating Decoupling Capacitance Effect}, author = {Y. Ogasahara and M. Hashimoto and T. Onoye}, journal = {Proceedings of IEEE Custom Integrated Circuits Conference (CICC)}, pages = {783--786}, month = {9}, year = {2007}, } @inproceedings{id29, title = {Future Prediction of Self-heating in Short Intra-block Wires}, author = {K. Shinkai and M. Hashimoto and T. Onoye}, booktitle = {Proceedings of International Symposium on Quality Electronic Design (ISQED)}, pages = {660-665}, month = {3}, year = {2007}, } @inproceedings{id30, title = {A Gate Delay Model Focusing on Current Fluctuation over Wide-Range of Process and Environmental Variability}, author = {K. Shinkai and M. Hashimoto and A. Kurokawa and T. Onoye}, booktitle = {Proceedings of ACM/IEEE International Conference on Computer-Aided Design (ICCAD)}, pages = {47-53}, month = {11}, year = {2006}, } @inproceedings{id31, title = {Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk Noise and Discussion on Wire Cross-Sectional Area Toward Inductive Crosstalk Free Interconnects}, author = {Y. Ogasahara and M. Hashimoto and T. Onoye}, booktitle = {Proceedings of IEEE International Conference on Computer Design (ICCD)}, pages = {70-75}, month = {10}, year = {2006}, } @inproceedings{id32, title = {Measurement of Inductive Coupling Effect on Timing in 90nm Global Interconnects}, author = {Y. Ogasahara and M. Hashimoto and T. Onoye}, booktitle = {Proceedings of IEEE Custom Integrated Circuits Conference (CICC)}, pages = {721-724}, month = {9}, year = {2006}, } @inproceedings{id33, title = {Measurement results of delay degradation due to power supply noise well correlated with full-chip simulation}, author = {Y. Ogasahara and T. Enami and M. Hashimoto and T. Sato and T. Onoye}, booktitle = {Proceedings of IEEE Custom Integrated Circuits Conference (CICC),}, pages = {861-864}, month = {9}, year = {2006}, } @inproceedings{id34, title = {Transistor Sizing of {LCD} Driver Circuit for Technology Migration}, author = {T. Ijichi and M. Hashimoto and S. Takahashi and S. Tsukiyama and I. Shirakawa}, booktitle = {Proceedings of International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC)}, volume = {1}, pages = {I25-I28}, month = {7}, year = {2006}, } @inproceedings{id35, title = {Si-substrate Modeling toward Substrate-aware Interconnect Resistance and Inductance Extraction in {SoC} Design}, author = {T. Kanamoto and T. Ikeda and A. Tsuchiya and H. Onodera and M. Hashimoto}, booktitle = {Proceedings of IEEE Wrokshop on Signal Propagation on Interconnects (SPI)}, pages = {227-230}, month = {5}, year = {2006}, } @inproceedings{id36, title = {A Gate Delay Model Focusing on Current Fluctuation over Wide-Range of Process Variations}, author = {K. Shinkai and M. Hashimoto and A. Kurokawa and T. Onoye}, booktitle = {ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)}, pages = {59-64}, month = {2}, year = {2006}, } @inproceedings{id37, title = {Interconnect {RL} Extraction at a Single Representative Frequency}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {515-520}, month = {1}, year = {2006}, } @inproceedings{id38, title = {Effective Si-substrate Modeling for Frequency-dependent Interconnect Resistance and Inductance Extraction}, author = {T. Kanamoto and T. Ikeda and A. Tsuchiya and H. Onodera and M. Hashimoto}, booktitle = {Proceedings of International Workshop on Compact Modeling (IWCM)}, pages = {51-56}, month = {1}, year = {2006}, } @inproceedings{id39, title = {A Sampling Switch Design for Liquid Crystal Displays}, author = {S. Takahashi and A. Taji and S. Tsukiyama and M. Hashimoto and I. Shirakawa}, booktitle = {Proceedings of IEEE International Region 10 Conference}, number = {1C-03.3}, month = {11}, year = {2005}, } @inproceedings{id40, title = {Input Capacitance Modeling of Logic Gates for Accurate Static Timing Analysis}, author = {T. Kouno and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC)}, pages = {453-456}, month = {11}, year = {2005}, } @inproceedings{id41, title = {Performance Prediction of On-chip High-throughput Global Signaling}, author = {M. Hashimoto and A. Tsuchiya and A. Shinmyo and H. Onodera}, booktitle = {Proceedings of IEEE 14th Topical Meeting on Electrical Performance of Electronic Packaging (EPEP)}, pages = {79-82}, month = {10}, year = {2005}, } @inproceedings{id42, title = {Estimation of Maximum Oscillation Frequency for {CMOS} {LCVCOs}}, author = {S. Uemura and T. Miyazaki and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of IEEJ International Analog VLSI Workshop}, month = {10}, year = {2005}, } @inproceedings{id44, title = {Design Guideline for Resistive Termination of On-Chip High-Speed Interconnects}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of IEEE Custom Integrated Circuits Conference (CICC)}, pages = {613-616}, month = {9}, year = {2005}, } @inproceedings{id43, title = {Measurement and Analysis of Delay Variation due to Inductive Coupling}, author = {Y. Ogasahara and M. Hashimoto and T. Onoye}, booktitle = {Proceedings of IEEE Custom Integrated Circuits Conference (CICC)}, pages = {305-308}, month = {9}, year = {2005}, } @inproceedings{id45, title = {A Design Scheme for Sampling Switch in Active Matrix {LCD}}, author = {S. Takahashi and A. Taji and S. Tsukiyama and M. Hashimoto and I. Shirakawa}, booktitle = {Proceedings of European Conference on Circuit Theory and Design}, number = {3e-212}, month = {8}, year = {2005}, } @inproceedings{id46, title = {Substrate Loss of On-chip Transmission-lines with Power/Ground Wires in Lower Layer}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of IEEE Workshop on Signal Propagation on Interconnects (SPI)}, pages = {201-202}, month = {5}, year = {2005}, } @inproceedings{id49, title = {Effects of On-chip Inductance on Power Distribution Grid}, author = {A. Muramatsu and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of International Symposium on Physical Design (ISPD)}, pages = {63-69}, month = {4}, year = {2005}, } @inproceedings{id48, title = {Effects of Orthogonal Power/Ground Wires on On-chip Interconnect Characteristics}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of International Meeting for Future of Electron Devices, Kansai}, pages = {33-34}, month = {4}, year = {2005}, } @inproceedings{id47, title = {Interconnect Capacitance Extraction for System {LCD} Circuits}, author = {Y. Uchida and S. Tani and M. Hashimoto and S. Tsukiyama and I. Shirakawa}, booktitle = {Proceedings of Great Lakes Symposium on VLSI (GLSVLSI)}, pages = {160-163}, month = {4}, year = {2005}, } @inproceedings{id50, title = {Statistical Analysis of Clock Skew Variation in H-tree Structure}, author = {M. Hashimoto and T. Yamamoto and H. Onodera}, booktitle = {Proceedings of International Symposium on Quality Electronic Design (ISQED)}, pages = {402-407}, month = {3}, year = {2005}, } @inproceedings{id55, title = {Design and Measurement of 6.4 Gbps 8:1 Multiplexer in 0.18um {CMOS} Process}, author = {A. Shinmyo and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {D9-D10}, month = {1}, year = {2005}, } @inproceedings{id53, title = {Return Path Selection for Loop {RL} Extraction}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {1078-1081}, month = {1}, year = {2005}, } @inproceedings{id52, title = {Timing Analysis Considering Temporal Supply Voltage Fluctuation}, author = {M. Hashimoto and J. Yamaguchi and T. Sato and H. Onodera}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {1098-1101}, month = {1}, year = {2005}, } @inproceedings{id51, title = {Successive pad assignment algorithm to optimize number and location of power supply pad using incremental matrix inversion}, author = {T. Sato and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {723-728}, month = {1}, year = {2005}, } @inproceedings{id54, title = {On-chip thermal gradient analysis and temperature flattening for {SoC} design}, author = {T. Sato and N. Ono and J. Ichimiya and K. Hachiya and M. Hashimoto}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {1074-1077}, month = {1}, year = {2005}, } @inproceedings{id56, title = {Performance Prediction of On-chip Global Signaling}, author = {M. Hashimoto and A. Tsuchiya and A. Shinmyo and H. Onodera}, booktitle = {IEEE Electrical Design of Advanced Packaging and Systems (EDAPS)}, pages = {87-100}, month = {11}, year = {2004}, note = {Invited talk}, } @inproceedings{id57, title = {Timing Analysis Considering Spatial Power/Ground Level Variation}, author = {M. Hashimoto and J. Yamaguchi and H. Onodera}, booktitle = {Proceedings of ACM/IEEE International Conference on Computer-Aided Design (ICCAD)}, pages = {814-820}, month = {11}, year = {2004}, } @inproceedings{id59, title = {{LSI} Power Network Analysis with On-chip Wire Inductance}, author = {A. Muramatsu and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, pages = {55-60}, month = {10}, year = {2004}, } @inproceedings{id58, title = {On-Chip Global Signaling by Wave Pipelining}, author = {M. Hashimoto and A. Tsuchiya and H. Onodera}, booktitle = {IEEE 13th Topical Meeting on Electrical Performance of Electronic Packaging (EPEP)}, pages = {311-314}, month = {10}, year = {2004}, } @inproceedings{id61, title = {Statistical Analysis of Clock Skew Variation}, author = {M. Hashimoto and T. Yamamoto and H. Onodera}, booktitle = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, pages = {214-219}, month = {10}, year = {2004}, } @inproceedings{id62, title = {A Performance Prediction of Clock Generation {PLLs:} A Ring Oscillator Based {PLL} and An {LC} Oscillator Based {PLL}}, author = {T. Miyazaki and M. Hashimoto and H. Onodera}, booktitle = {IEEJ International Analog VLSI Workshop}, pages = {45-50}, month = {10}, year = {2004}, } @inproceedings{id60, title = {An {IR-drop} minimization by optimizing number and location of power supply pads}, author = {T. Sato and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, pages = {66-72}, month = {10}, year = {2004}, } @inproceedings{id63, title = {Performance Limitation of On-chip Global Interconnects for High-speed Signaling}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of IEEE Custom Integrated Circuits Conference (CICC)}, pages = {489-492}, month = {9}, year = {2004}, } @inproceedings{id64, title = {Design and Optimization of {CMOS} Current Mode Logic Dividers}, author = {A. Shinmyo and M. Hashimoto and H. Onodera}, booktitle = {IEEE Asia-Pacific Conference on Advanced System Integrated Circuits}, pages = {434-435}, month = {8}, year = {2004}, } @inproceedings{id65, title = {Automatic Generation of Standard Cell Library in {VDSM} Technologies}, author = {M. Hashimoto and K. Fujimori and H. Onodera}, booktitle = {Proceedings of International Symposium on Quality Electronic Design (ISQED)}, pages = {36-41}, month = {3}, year = {2004}, } @inproceedings{id66, title = {Representative Frequency for Interconnect {R(f)L(f)C} Extraction}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {691-696}, month = {1}, year = {2004}, } @inproceedings{id67, title = {A Performance Comparison of {PLLs} for Clock Generation Using Ring Oscillator {VCO} and {LC} Oscillator in a Digital {CMOS} Process}, author = {T. Miyazaki and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {545-546}, month = {1}, year = {2004}, } @inproceedings{id68, title = {Equivalent Waveform Propagation for Static Timing Analysis}, author = {M. Hashimoto and Y. Yamada and H. Onodera}, booktitle = {Proceedings of ACM/IEEE International Conference on Computer-Aided Design (ICCAD)}, pages = {169-175}, month = {11}, year = {2003}, } @inproceedings{id71, title = {Frequency Determination for Interconnect {RLC} Extraction}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, pages = {288-293}, month = {4}, year = {2003}, } @inproceedings{id69, title = {Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis}, author = {M. Hashimoto and Y. Yamada and H. Onodera}, booktitle = {Proceedings of ACM/IEEE International Symposium on Physical Design (ISPD)}, pages = {18-23}, month = {4}, year = {2003}, } @inproceedings{id70, title = {Slew Calculation against Diverse Gate-Input Waveforms for Accurate Static Timing Analysis}, author = {Y. Yamada and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, pages = {280-287}, month = {4}, year = {2003}, } @inproceedings{id73, title = {Standard Cell Libraries with Various Driving Strength Cells for 0.13, 0.18 and 0.35um Technologies}, author = {M. Hashimoto and K. Fujimori and H. Onodera}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {589-590}, month = {1}, year = {2003}, } @inproceedings{id72, title = {Accurate Prediction of the Impact of On-Chip Inductance on Interconnect Delay using Electrical and Physical Parameters}, author = {T. Sato and T. Kanamoto and A. Kurokawa and Y. Kawakami and H. Oka and T. Kitaura and H. Kobayashi and M. Hashimoto}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {149-155}, month = {1}, year = {2003}, } @inproceedings{id74, title = {Interconnect Structures for High-Speed Long-Distance Signal Transmission}, author = {M. Hashimoto and D. Hiramatsu and A. Tsuchiya and H. Onodera}, booktitle = {Proceedings of IEEE International ASIC/SOC Conference}, pages = {426-430}, month = {9}, year = {2002}, } @inproceedings{id75, title = {Experimental Study on Cell-Base High-Performance Datapath Design}, author = {M. Hashimoto and Y. Hayashi and H. Onodera}, booktitle = {Proceedings of IEEE/ACM International Workshop on Logic \& Synthesis (IWLS)}, pages = {283-287}, month = {6}, year = {2002}, } @inproceedings{id76, title = {Crosstalk Noise Optimization by Post-Layout Transistor Sizing}, author = {M. Hashimoto and M. Takahashi and H. Onodera}, booktitle = {Proceedings of ACM/IEEE International Symposium on Physical Design (ISPD)}, pages = {126-130}, month = {4}, year = {2002}, } @inproceedings{id77, title = {Driver Sizing for High-Performance Interconnects Considering Transmission-Line Effects}, author = {A. Tsuchiya and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, pages = {377-381}, month = {10}, year = {2001}, } @inproceedings{id78, title = {Crosstalk Noise Estimation for Generic {RC} Trees}, author = {M. Takahashi and M. Hashimoto and H. Onodera}, booktitle = {Proceedings of International Conference on Computer Design (ICCD)}, pages = {110-116}, month = {9}, year = {2001}, } @inproceedings{id79, title = {{ASIC} Design Methodology with On-Demand Library Generation}, author = {H. Onodera and M. Hashimoto and T. Hashimoto}, booktitle = {Proceedings of Symposium on VLSI Circuits}, pages = {57-60}, month = {6}, year = {2001}, } @inproceedings{id80, title = {Increase in Delay Uncertainty by Performance Optimization}, author = {M. Hashimoto and H. Onodera}, booktitle = {Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS)}, volume = {V}, pages = {379-382}, month = {5}, year = {2001}, } @inproceedings{id81, title = {Post-Layout Transistor Sizing for Power Reduction in Cell-Based Design}, author = {M. Hashimoto and H. Onodera}, booktitle = {Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)}, pages = {359-365}, month = {1}, year = {2001}, } @inproceedings{id82, title = {A Statistical Delay-Uncertainty Analysis of the Circuits Path-Balanced by Gate/Transistor Sizing}, author = {M. Hashimoto and H. Onodera}, booktitle = {Proceedings of ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)}, pages = {34-37}, month = {12}, year = {2000}, } @inproceedings{id83, title = {Vector Quantization Processor for Mobile Video Communication}, author = {T. Iwahashi and T. Shibayama and M. Hashimoto and K. Kobayashi and H. Onodera}, booktitle = {Proceedings of IEEE International ASIC/SOC Conference}, pages = {75-79}, month = {9}, year = {2000}, } @inproceedings{id85, title = {A Performance Optimization Method by Gate Resizing Based on Statistical Static Timing Analysis}, author = {M. Hashimoto and H. Onodera}, booktitle = {Proceedings of the Ninth Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI)}, pages = {115-121}, month = {4}, year = {2000}, } @inproceedings{id84, title = {A Performance Optimization Method by Gate Sizing using Statistical Static Timing Analysis}, author = {M. Hashimoto and H. Onodera}, booktitle = {Proceedings of ACM International Symposium on Physical Design (ISPD)}, pages = {111-116}, month = {4}, year = {2000}, } @inproceedings{id86, title = {Practical Gate Resizing Technique Considering Glitch Reduction for Low Power Design}, author = {M. Hashimoto and H. Onodera and K. Tamaru}, booktitle = {Proceedings of the 36th IEEE/ACM Design Automation Conference (DAC)}, pages = {446-451}, month = {6}, year = {1999}, } @inproceedings{id87, title = {A Power Optimization Method Considering Glitch Reduction by Gate Sizing}, author = {M. Hashimoto and H. Onodera and K. Tamaru}, booktitle = {Proceedings of IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)}, pages = {221-226}, month = {8}, year = {1998}, } @inproceedings{id88, title = {Input Reordering for Power and Delay Optimization}, author = {M. Hashimoto and H. Onodera and K. Tamaru}, booktitle = {Proceedings of IEEE International ASIC Conference and Exhibit}, pages = {194-198}, month = {9}, year = {1997}, } @article{id601, title = {GPU アプリケーションのスレッド間通信を用いた不正メモリアクセス検出手法の検討}, author = {岩本陸 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2022}, } @article{id602, title = {RISC-Vプロセッサにおける故障注入実験及び中性子照射実験の結果比較}, author = {田上凱斗 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2022}, } @article{id581, title = {RISC-Vプロセッサに対するフォールトインジェクション実験の結果分析}, author = {田上凱斗 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {9}, year = {2021}, } @article{id558, title = {モデルとプライバシーを保護するアンサンブル決定木向け秘匿推論プロトコル}, author = {稲毛康太 and 橋本昌宜 and 上野嶺 and 粟野皓光 and 本間尚文}, journal = {情報処理学会 コンピュータセキュリティシンポジウム}, month = {10}, year = {2020}, } @article{id557, title = {クリティカルパス・アイソレーションとビット幅削減を用いた過電圧スケーリング向け省電力設計手法}, author = {増田豊,長山凖,鄭泰禹,石原亨,籾山陽一,橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2020}, } @article{id525, title = {RC Extraction-free Wiring Delay Analysis Focusing on Number of On-state Switches for Via-switch FPGA}, author = {Y. Sun and R. Doi and M. Hashimoto}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2019}, } @article{id526, title = {ビアスイッチFPGAの部分的再構成における書き換えスイッチ数の最小化}, author = {土井龍太郎 and 劉載勲 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2019}, } @article{id487, title = {ビアスイッチFPGA再構成時のスニークパス問題を回避するプログラミング順決定手法}, author = {土井龍太郎 and 劉載勲 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2018}, } @article{id488, title = {エラー予告FFとレプリカの電圧マージン制御性能の定量的比較}, author = {増田豊 and 長山準 and 武野紘宜 and 小川芳正 and 籾山陽一 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2018}, } @article{id490, title = {FOWLPを用いたLSIにおける再配線層上キャパシタ及びオンチップ容量の最適化}, author = {金本俊幾 and 葛西孝己 and 今井雅 and 黒川敦 and 橋本昌宜 and 陳俊 and 神藤始}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2018}, } @article{id458, title = {常温で論理テスト可能な超低温動作VLSIのタイミング設計法の検討}, author = {中山貴博 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2017}, } @article{id455, title = {ビアスイッチFPGAにおけるスニークパス問題のSAT符号化を用いた検証}, author = {土井龍太郎 and 橋本 昌宜 }, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2017}, } @article{id457, title = {エラー予告ベース適応的電圧制御のMTTF考慮設計手法}, author = {増田豊 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2017}, } @article{id456, title = {容量配置最適化に向けた15nm世代LSI・パッケージ・ボード電源網解析モデルの構築}, author = {金本俊幾 and 葛西孝己 and 今井雅 and 黒川敦 and 橋本昌宜 and 陳俊 and 神藤始 }, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2017}, } @article{id441, title = {確率的回路寿命予測手法の計算安定性と確率取り扱いの妥当性に関する考察}, author = {佐藤雅紘 and 増田豊 and 飯塚翔一 and 尾上孝雄 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {9}, year = {2016}, } @article{id440, title = {低電圧・長寿命動作に向けたクリティカルパス・アイソレーション手法}, author = {増田豊 and 尾上孝雄 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, month = {9}, year = {2016}, } @article{id425, title = {電源ノイズ起因タイミング故障のデバッグにおけるC言語ベース故障検出手法の有効性評価}, author = {増田豊 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2015}, } @article{id418, title = {小型センサデバイスに向けた無線給電システムの設計}, author = {秋原 優樹 and 廣瀬 哲也 and 田中 勇気 and 黒木 修隆 and 沼 昌宏 and 橋本 昌宜}, journal = {回路とシステムワークショップ}, pages = { 258--263}, month = {8}, year = {2015}, } @article{id387, title = {電源ノイズ起因電気的故障を対象としたソフトウェアベース高速エラー検出手法の性能評価}, author = {増田豊 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会DAシンポジウム}, pages = {203--208}, month = {8}, year = {2014}, } @article{id386, title = {感度可変リングオシレータを用いた省面積デバイスパラメータばらつき推定手法}, author = {飯塚翔一 and 樋口裕磨 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会DAシンポジウム}, pages = {15--20}, month = {8}, year = {2014}, } @article{id357, title = {適応的速度制御における連続時間マルコフ過程を用いた故障発生時間高速評価手法}, author = {飯塚翔一 and 水野雅文 and 黒田弾 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2013}, } @article{id331, title = {低電力回路技術}, author = {橋本昌宜}, journal = {センサマイクロマシンとその応用シンポジウムプログラム}, month = {10}, year = {2012}, } @article{id324, title = {微細プロセス(22nm世代)における配線コーナー設計手法の検討}, author = {城間誠 and 山中俊輝 and 小笠原泰弘 and 金本俊幾 and 成木保文 and 奥村隆昌 and 増田弘生 and 古川且洋 and 佐藤高史 and 橋本昌宜 and 黒川敦 and 田中正和}, journal = {情報処理学会DAシンポジウム}, pages = {199--204}, month = {8}, year = {2012}, } @article{id323, title = {微細CMOSタイミング設計の新しいコーナー削減方法}, author = {小谷 憲 and 増田弘生 and 成木保文 and 奥村隆昌 and 城間 誠 and 金本俊幾 and 古川且洋 and 山中俊輝 and 小笠原泰弘 and 佐藤高史 and 橋本昌宜 and 黒川敦 and 田中正和}, journal = {情報処理学会DAシンポジウム}, pages = {193--198}, month = {8}, year = {2012}, } @article{id322, title = {感度可変リングオシレータを用いたデバイスパラメータばらつき推定}, author = {樋口裕磨 and 新開健一 and 橋本昌宜 and R. Rao and S. Nassif}, journal = {情報処理学会DAシンポジウム}, month = {8}, year = {2012}, } @article{id307, title = {スキャンパスを用いたNBTI劣化抑制に関する一検討}, author = {亀田敏広 and 郡浦宏明 and 密山幸男 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会DAシンポジウム}, pages = {201--206}, month = {9}, year = {2011}, } @article{id306, title = {CMOSドライバ回路遅延のNBTI劣化ばらつき特性解析}, author = {佐方剛 and 成木保文 and 奥村隆昌 and 金本俊幾 and 増田弘生 and 佐藤高史 and 橋本昌宜 and 古川且洋 and 田中正和 and 山中俊輝}, journal = {情報処理学会DAシンポジウム}, month = {9}, year = {2011}, } @article{id281, title = {RTNを考慮した回路特性ばらつき解析方法の検討}, author = {増田弘生 and 佐方剛 and 佐藤高史 and 橋本昌宜 and 古川且洋 and 田中正和 and 山中俊輝 and 金本俊幾}, journal = {情報処理学会DAシンポジウム}, pages = {209--214}, month = {9}, year = {2010}, } @article{id279, title = {製造後性能補償のためのリーク・遅延相関考慮クラスタリング手法}, author = {木村修太 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会DAシンポジウム}, pages = {93--98}, month = {9}, year = {2010}, } @article{id280, title = {自己性能補償に向けたカナリアFF挿入手法}, author = {榎並孝司 and 木村修太 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会DAシンポジウム}, pages = {227--232}, month = {9}, year = {2010}, } @article{id247, title = {広範囲な製造・環境ばらつきに対応したゲート遅延モデル}, author = {新開健一 and 橋本昌宜}, journal = {情報処理学会DAシンポジウム}, pages = {73--78}, month = {8}, year = {2009}, } @article{id248, title = {電源ノイズや製造ばらつきによるクロックジッタ・スキューを考慮した統計的タイミング解析}, author = {橋本昌宜 and 榎並孝司 and 新開健一 and 二宮進有 and 阿部慎也}, journal = {情報処理学会DAシンポジウム}, pages = {79--84}, month = {8}, year = {2009}, } @article{id249, title = {NBTIによる劣化予測におけるトランジスタ動作確率算出法の評価}, author = {郡浦宏明 and 密山幸男 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会DAシンポジウム}, pages = {181--186}, month = {8}, year = {2009}, } @article{id238, title = {製造ばらつきに起因するリーク電流変動の低減アプローチ}, author = {佐方 剛 and 黒川 敦 and 奥村 隆昌 and 中島 英斉 and 増田 弘生 and 佐藤 高史 and 橋本 昌宜 and 蜂屋 孝太郎 and 古川 且洋 and 田中 正和 and 高藤 浩資 and 金本 俊幾}, journal = {第22回 回路とシステム(軽井沢)ワークショップ}, pages = {444--449}, month = {4}, year = {2009}, } @article{id239, title = {マルコフモデルによるオシレータサンプリング方式真性乱数生成器の乱数品質解析}, author = {天木 健彦 and 橋本 昌宜 and 密山 幸男 and 尾上 孝雄}, journal = {第22回 回路とシステム(軽井沢)ワークショップ}, pages = {474---479}, month = {4}, year = {2009}, } @article{id223, title = {タイミングエラー予告を用いた適応的速度制御におけるタイミングエラー頻度と消費電力のトレードオフ解析}, author = {更田裕司 and 橋本昌宜 and 密山幸男 and 尾上孝雄}, journal = {情報処理学会DAシンポジウム}, pages = {217--222}, month = {8}, year = {2008}, } @article{id209, title = {タイミング歩留まり改善を目的とする演算器カスケーディング}, author = {渡辺 慎吾 and 橋本 昌宜 and 佐藤寿倫}, journal = {先進的計算基盤システムシンポジウム(Symposium on Advanced Computing Systems and Infrastructures; SACSIS)}, pages = {115--122}, month = {6}, year = {2008}, } @article{id207, title = {チップ内システマティックばらつきと回路スキュー特性相関}, author = {増田 弘生 and 大川 眞一 and 黄田 剛 and 奥村 隆昌 and 黒川 敦 and 金本 俊幾 and 佐藤 高史 and 橋本 昌宜 and 高藤 浩資 and 中島 英斉 and 小野 信任}, journal = {第21回 回路とシステム(軽井沢)ワークショップ}, pages = {617--622}, month = {4}, year = {2008}, } @article{id206, title = {Vth ばらつきに拠る出力遷移時間ばらつきの解析}, author = {奥村 隆昌 and 黒川 敦 and 増田 弘生 and 金本 俊幾 and 佐藤 高史 and 橋本 昌宜 and 高藤 浩資 and 中島 英斉 and 小野 信任}, journal = {第21回 回路とシステム(軽井沢)ワークショップ}, pages = {299--304}, month = {4}, year = {2008}, } @article{id185, title = {製造ばらつきを考慮したメッシュ型クロック分配網のスキュー評価}, author = {阿部慎也 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会DAシンポジウム}, pages = {133-138}, month = {8}, year = {2007}, } @article{id178, title = {45-65nmプロセスにおける遅延ばらつき特性の環境温度依存}, author = {中林 太美世 and 黒川 敦 and 増田 弘生 and 橋本 昌宜 and 佐藤 高史}, journal = {第20回 回路とシステム(軽井沢)ワークショップ}, pages = {691--696}, month = {4}, year = {2007}, } @article{id175, title = {短距離ブロック内配線の自己発熱}, author = {新開 健一 and 橋本 昌宜 and 尾上孝雄}, journal = {第20回 回路とシステム(軽井沢)ワークショップ}, pages = {7--12}, month = {4}, year = {2007}, } @article{id177, title = {電源ノイズの空間的相関を考慮した統計的タイミング解析}, author = {榎並 孝司 and 二宮 進有 and 橋本 昌宜}, journal = {第20回 回路とシステム(軽井沢)ワークショップ}, pages = {667--672}, month = {4}, year = {2007}, } @article{id176, title = {製造・環境ばらつきと動的性能補償を考慮したタイミング検証に向けて}, author = {橋本 昌宜}, journal = {第20回 回路とシステム(軽井沢)ワークショップ}, pages = {661--666}, month = {4}, year = {2007}, } @article{id179, title = {統計的STAでのスルー依存性を考慮した遅延ばらつき計算手法の提案}, author = {高藤 浩資 and 小林 宏行 and 小野 信任 and 増田 弘生 and 中島 英斉 and 奥村 隆昌 and 橋本 昌宜 and 佐藤 高史}, journal = {第20回 回路とシステム(軽井沢)ワークショップ}, pages = {709--714}, month = {4}, year = {2007}, } @inproceedings{id95, title = {統計的STA の精度検証手法}, author = {小林宏行、小野信任、佐藤高史、岩井二郎、橋本昌宜}, booktitle = {情報処理学会DAシンポジウム}, pages = {7-12}, month = {7}, year = {2006}, } @inproceedings{id94, title = {主成分分析による電源電圧変動の統計的モデル化手法}, author = {榎並孝司、橋本昌宜、尾上孝雄}, booktitle = {情報処理学会DAシンポジウム}, pages = {205-210}, month = {7}, year = {2006}, } @inproceedings{id98, title = {統計的STAの有効性の検証手法}, author = {小林 宏行 and 小野 信任 and 佐藤 高史 and 岩井 二郎 and 橋本 昌宜}, booktitle = {第19回 回路とシステム(軽井沢)ワークショップ}, pages = {553-558}, month = {4}, year = {2006}, } @inproceedings{id96, title = {LSI 配線における容量性, 誘導性クロストークノイズの定量的将来予測}, author = {小笠原 泰弘 and 橋本 昌宜 and 尾上 孝雄}, booktitle = {第19回 回路とシステム(軽井沢)ワークショップ}, pages = {5-10}, month = {4}, year = {2006}, } @inproceedings{id97, title = {電流変動に着目した広範囲な製造・環境ばらつき対応ゲート遅延モデル}, author = {新開 健一 and 橋本 昌宜 and 黒川 敦 and 尾上 孝雄}, booktitle = {第19回 回路とシステム(軽井沢)ワークショップ}, pages = {559-564}, month = {4}, year = {2006}, } @inproceedings{id105, title = {配線の伝達特性ノ基づく抽出周波数決定手法}, author = {土谷 亮 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {情報処理学会DAシンポジウム}, pages = {169-174}, month = {8}, year = {2005}, } @inproceedings{id108, title = {オンチップ高速信号伝送における終端抵抗決定手法}, author = {土谷 亮 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {第18回 回路とシステム(軽井沢)ワークショップ}, pages = {425-430}, month = {4}, year = {2005}, } @inproceedings{id121, title = {フロアプランにおけるオンチップ熱ばらつきの解析と対策}, author = {佐藤 高史 and 市宮 淳次 and 小野 信任 and 蜂屋 孝太郎 and 橋本 昌宜}, booktitle = {情報処理学会DAシンポジウム}, pages = {133-138}, month = {7}, year = {2004}, } @inproceedings{id120, title = {配線RL抽出におけるリターンパス選択手法}, author = {土谷 亮 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {情報処理学会DAシンポジウム}, pages = {175-180}, month = {7}, year = {2004}, } @inproceedings{id119, title = {オンチップインダクタンスを考慮したLSI電源配線網解析}, author = {村松 篤 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {情報処理学会DAシンポジウム}, pages = {277-282}, month = {7}, year = {2004}, } @inproceedings{id122, title = {遅延計算およびシグナルインテグリティを考慮した配線寄生容量抽出精度評価}, author = {金本 俊幾 and 阿久津滋聖 and 中林 太美世 and 一宮 敬弘 and 蜂屋 孝太郎 and 石川 博 and 室本 栄 and 小林 宏行 and 橋本 昌宜 and 黒川 敦}, booktitle = {情報処理学会DAシンポジウム}, pages = {265-270}, month = {7}, year = {2004}, } @inproceedings{id123, title = {オンチップ伝送線路のリターン電流分布が信号波形に与える影響 --- 平衡・不平衡伝送の比較 ---}, author = {土谷 亮 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {第17回 回路とシステム(軽井沢)ワークショップ}, pages = {567-572}, month = {4}, year = {2004}, } @inproceedings{id130, title = {直交配線を持つオンチップ伝送線路の特性評価}, author = {土谷 亮 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {情報処理学会DAシンポジウム}, pages = {133-138}, month = {7}, year = {2003}, } @inproceedings{id131, title = {配線R(f)L(f)C抽出のための代表周波数決定手法}, author = {土谷 亮 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {第16回 回路とシステム(軽井沢)ワークショップ}, pages = {61-66}, month = {4}, year = {2003}, } @inproceedings{id142, title = {IRドロップを考慮した電源線構造の最適化手法}, author = {山口 隼司 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {情報処理学会DAシンポジウム}, pages = {253-258}, month = {7}, year = {2002}, } @inproceedings{id143, title = {長距離高速信号伝送を可能にするVLSI配線構造の検討}, author = {平松 大輔 and 土谷 亮 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {情報処理学会DAシンポジウム}, pages = {155-160}, month = {7}, year = {2002}, } @inproceedings{id140, title = {セルベース設計環境を用いた高性能データパス設計法の検討}, author = {林 宙輝 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {情報処理学会DAシンポジウム}, pages = {113-118}, month = {7}, year = {2002}, } @inproceedings{id141, title = {0.1μm級LSIの遅延計算における寄生インダクタンスを考慮すべき配線の統計的選別手法}, author = {金本 俊幾 and 佐藤 高史 and 黒川 敦 and 川上 善之 and 岡 宏規 and 北浦 智靖 and 池内 敦彦 and 小林 宏行 and 橋本 昌宜}, booktitle = {情報処理学会DAシンポジウム}, pages = {149-154}, month = {7}, year = {2002}, } @inproceedings{id144, title = {インダクタンスが配線遅延に及ぼす影響の定量的評価方法}, author = {佐藤高史 and 金本俊幾 and 黒川敦 and 川上善之 and 岡宏規 and 北浦智靖 and 池内敦彦 and 小林宏行 and 橋本昌宜}, booktitle = {第15回 回路とシステム(軽井沢)ワークショップ}, pages = {493-498}, month = {4}, year = {2002}, } @inproceedings{id152, title = {隣接位置を考慮した解析的クロストークノイズ見積もり手法}, author = {高橋 正郎 and 橋本 昌宜 and 小野寺 秀俊}, booktitle = {情報処理学会DAシンポジウム}, pages = {19-24}, month = {7}, year = {2001}, } @inproceedings{id158, title = {セルベース設計における連続的トランジスタ寸法最適化による消費電力削減手法}, author = {橋本 昌宜 and 小野寺 秀俊}, booktitle = {情報処理学会DAシンポジウム}, pages = {185-190}, month = {7}, year = {2000}, } @inproceedings{id159, title = {静的統計遅延解析に基づいたゲート寸法最適化による回路性能最適化手法}, author = {橋本 昌宜 and 小野寺 秀俊}, booktitle = {第13回 回路とシステム(軽井沢)ワークショップ}, pages = {137-142}, month = {4}, year = {2000}, } @inproceedings{id165, title = {グリッチの削減を考慮したゲート寸法最適化による消費電力削減手法}, author = {橋本 昌宜 and 小野寺 秀俊 and 田丸 啓吉}, booktitle = {情報処理学会DAシンポジウム}, pages = {269-274}, month = {7}, year = {1998}, } @inproceedings{id168, title = {入力端子接続最適化による消費電力削減手法}, author = {橋本 昌宜 and 小野寺 秀俊 and 田丸 啓吉}, booktitle = {情報処理学会DAシンポジウム}, pages = {99-104}, month = {7}, year = {1997}, } @article{id622, title = {GDDR6における高エネルギー中性子照射によるスタックビット・ブロックの発生}, author = {橋本昌宜 and 吉田正和}, journal = {宇宙科学技術連合講演会}, month = {10}, year = {2023}, } @article{id635, title = {ミューオン原子核捕獲反応による生成核分岐比の測定}, author = {R. Mizuno and M. Niikura and T. Y. Saito and T. Matsuzaki and S. Abe and H. Fukuda and M. Hashimoto and K. Ishida and N. Kawamura and S. Kawase and M. Oishi and P. Strasser and A. Sato and K. Shimomura and S. Takeshita and I. Umegaki and A. Hillier and T. Kawata and K. Kitafuji and D. Tomono}, journal = {第12回停止・低速RIビームを用いた核分光研究会 (12th SSRI)}, month = {9}, year = {2023}, } @article{id621, title = {量子ドットとイメージセンサを用いたリザバーコンピューティングによる画像分類}, author = {松元拓人 and 橋本昌宜}, journal = {電子情報通信学会ソサイエティ大会}, month = {9}, year = {2023}, } @article{id616, title = {ミューオン起因ソフトエラーの物理現象解明に向けた照射実験と解析}, author = {五味唯美 and 橋本昌宜}, journal = {LSIとシステムのワークショップ}, month = {5}, year = {2023}, } @article{id614, title = {12-nm FinFETおよび28-nm プレナー型SRAMのミューオン起因ソフトエラー断面積の評価}, author = {五味唯美 and 高見一総 and 水野るり惠 and 新倉潤 and Yifan DENG and 川瀬頒一郎 and 渡辺幸信 and 安部晋一郎 and 廖望 and 反保元伸 and 梅垣いづみ and 竹下聡史 and 下村浩一郎 and 三宅康博 and 橋本昌宜}, journal = {電子情報通信学会 集積回路研究会}, month = {4}, year = {2023}, } @article{id607, title = {LPDDR4 SDRAMとGDDR5 SDRAMのソフトエラー耐性の実測評価}, author = {上林幹宜 and 小林和淑 and 橋本昌宜}, journal = {電子情報通信学会 VLSI設計技術研究会}, month = {1}, year = {2023}, } @article{id603, title = {ミュオン起因ソフトエラーの測定と課題}, author = {橋本昌宜}, journal = {日本原子力学会2022年秋の大会}, month = {9}, year = {2022}, } @article{id591, title = {仮想環境を用いたSRAM型FPGAにおける ソフトエラー評価手法}, author = {田中知成 and 廖望 and 橋本昌宜 and 密山幸男}, journal = {情報処理学会SLDM研究会}, month = {3}, year = {2022}, } @article{id590, title = {FRETを利用したリザバーコンピューティングの小型デバイス実装の検討}, author = {田中雅文 and 橋本昌宜}, journal = {電子情報通信学会総合大会講演論文集}, month = {3}, year = {2022}, } @article{id589, title = {モデル抽出攻撃に対して決定木構造漏洩がもたらす危険性評価}, author = {稲毛康太 and 橋本昌宜}, journal = {電子情報通信学会総合大会講演論文集}, month = {3}, year = {2022}, } @article{id585, title = {CNNの組み合わせ回路実装に向けた重み調整によるLUT数削減手法の検討}, author = {根尾優一郎 and 橋本昌宜}, journal = {情報処理学会SLDM研究会}, month = {1}, year = {2022}, } @article{id586, title = {小体積IoTノード向け磁界式バックスキャッタ通信手法の提案と評価}, author = {木村侑希大 and 白井僚 and 橋本昌宜}, journal = {電子情報通信学会 集積回路研究会}, month = {12}, year = {2021}, } @article{id587, title = {磁性体金属異物に対してロバストな直流磁界を用いた位置推定手法の検討}, author = {田中稔久 and 白井僚 and 橋本昌宜}, journal = {電子情報通信学会 集積回路研究会}, month = {12}, year = {2021}, } @article{id588, title = {360度視野角を有する3Dディスプレイの実現に向けた,極小画素ドットへの水中無線給電技術}, author = {白井僚 and 森聖太 and 渡辺悠介 and 中村柚希 and 橋本昌宜}, journal = {電子情報通信学会 集積回路研究会}, month = {12}, year = {2021}, } @article{id583, title = {半導体デバイスにおける宇宙線ミュオン起因ソフトエラー発生率評価に向けたミュオン原子核捕獲反応測定計画 (1)概要}, author = {川瀬頌一郎 and 福田 宏哉 and 渡辺幸信 and 新倉潤 and 橋本昌宜}, journal = {日本原子力学会2021年秋の大会}, month = {9}, year = {2021}, } @article{id570, title = {Mode-wise Voltage-scalable Design with Activation-aware Slack Assignment for Energy Minimization}, author = {T.-Y. Cheng and Y. Masuda and J. Nagayama and Y. Momiyama and J. Chen and M. Hashimoto}, journal = {電子情報通信学会 VLSI設計技術研究会}, month = {3}, year = {2021}, } @article{id568, title = {ビアスイッチのプログラミング電流制限によるバリスタ破損防止と抵抗値均質化の実験的評価}, author = {根尾 優一郎 and 橋本昌宜}, journal = {電子情報通信学会総合大会講演論文集}, month = {3}, year = {2021}, } @article{id569, title = {画素アレイ上の可変抵抗クロスバーを用いた フィルタ演算のエネルギー評価}, author = {藤原 廉 and 根尾 優一郎 and 橋本昌宜}, journal = {電子情報通信学会総合大会講演論文集}, month = {3}, year = {2021}, } @article{id542, title = {Via-Switch FPGAを対象とする算術演算アプリケーション回路の実装方式検討}, author = {今川隆司 and 劉載勲 and 橋本昌宜 and 越智裕之}, journal = {電子情報通信学会 VLSI設計技術研究会}, month = {3}, year = {2020}, } @article{id541, title = {積層a-Si/SiN/a-Siバリスタを有するビアスイッチ素子の開発}, author = {井口憲幸 and 伴野直樹 and 岡本浩一郎 and 沼田秀昭 and 橋本昌宜 and 杉林直彦 and 阪本利司 and 多田宗弘}, journal = {応用物理学会春季学術講演会}, month = {2}, year = {2020}, } @article{id536, title = {送信機と電源線のインピーダンスを整合させる電源線アンテナ化手法}, author = {白井僚 and 橋本昌宜}, journal = {電子情報通信学会 集積回路研究会}, month = {12}, year = {2019}, } @article{id512, title = {高エネルギー効率コンピューティングを実現するビアスイッチFPGA (Invited)}, author = {橋本昌宜}, journal = {電子情報通信学会VLSI設計技術研究会}, month = {5}, year = {2019}, } @article{id504, title = {スパイキングニューラルネットワークの教師あり学習法の検討}, author = {山田詩門 and 劉載勲 and 橋本昌宜}, journal = {電子情報通信学会総合大会講演論文集}, month = {3}, year = {2019}, } @article{id503, title = {FPGAを用いた動的電源ノイズ下でのエラー予告FFの動作検証}, author = {西孝将 and 増田豊 and 橋本昌宜}, journal = {電子情報通信学会総合大会講演論文集}, month = {3}, year = {2019}, } @article{id499, title = {地磁気センサアレイの時系列データを用いた動き予測の検討}, author = {清水綾平 and 白井僚 and 陳沛豪 and 橋本昌宜}, journal = {電子情報通信学会 回路とシステム研究会}, month = {12}, year = {2018}, } @article{id498, title = {単一アンカーコイルによる直流磁界を用いた位置推定手法の検討}, author = {白井僚 and 陳沛豪 and 清水綾平 and 橋本昌宜}, journal = {電子情報通信学会 回路とシステム研究会}, month = {12}, year = {2018}, } @article{id470, title = {過電圧スケーリングを用いた不正確計算による消費電力削減の検討}, author = {佐藤雅紘 and 増田豊 and 橋本昌宜}, journal = {電子情報通信学会VLSI設計技術研究会}, number = {VLD2017-123}, month = {3}, year = {2018}, } @article{id469, title = {ビアスイッチFPGA向け配線遅延解析手法の検討}, author = {中澤祐希 and 土井龍太郎 and 劉載勲 and 橋本昌宜}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2017-120}, month = {3}, year = {2018}, } @article{id474, title = {容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築}, author = {葛西孝己 and 神藤始 and 陳俊 and 橋本昌宜 and 今井雅 and 黒川敦 and 金本俊幾}, journal = {情報処理学会東北支部研究報告}, month = {2}, year = {2018}, } @article{id464, title = {IoTノード向けアンテナ組込型小体積高効率トランスミッタの開発}, author = {白井僚 and 廣瀬哲也 and 橋本昌宜}, journal = {電子情報通信学会 集積回路研究会}, month = {12}, year = {2017}, } @article{id463, title = {近傍界磁界通信・電界測距共用mm3級アンテナの実装と評価}, author = {白井僚 and 河野仁 and 廣瀬哲也 and 橋本昌宜}, journal = {電子情報通信学会 回路とシステム研究会}, month = {12}, year = {2017}, } @article{id462, title = {高エネルギー効率コンピューティングを実現するビアスイッチFPGA の開発 (Invited)}, author = {橋本昌宜}, journal = {電気関連学会関西連合大会}, month = {11}, year = {2017}, } @article{id446, title = {低電力FPGAを実現するビアスイッチ技術を用いた大規模クロスバースイッチの実証 (Invited)}, author = {伴野直樹 and 多田宗弘 and 岡本浩一郎 and 井口憲幸 and 阪本利司 and 波田博光 and 越智裕之 and 小野寺秀俊 and 橋本昌宜 and 杉林直彦}, journal = {電子情報通信学会シリコン材料・デバイス研究会}, number = {SDM2016-144}, month = {2}, year = {2017}, } @article{id439, title = {超低電圧SRAMのソフトエラー耐性 (Invited)}, author = {橋本昌宜}, journal = {電子情報通信学会 集積回路研究会}, number = {ICD2016-22}, pages = {53--58}, month = {8}, year = {2016}, } @article{id430, title = {Highly-dense Mixed Grained Reconfigurable Architecture with Via-switch}, author = {J. Hotate and T. Kishimoto and T. Higashi and H. Ochi and R. Doi and M. Tada and T. Sugibayashi and K. Wakabayashi and H. Onodera and Y. Mitsuyama and M. Hashimoto}, journal = {Work in Progress Session, Design Automation Conference (DAC)}, month = {6}, year = {2016}, } @article{id415, title = {センサの知能化に適したプロセッサアーキテクチャの考察}, author = {檜原弘樹 and 岩崎晃 and 橋本昌宜 and 越智裕之 and 密山幸男 and 小野寺秀俊 and 神原弘之 and 若林一敏 and 杉林直彦 and 竹中崇 and 波田博光 and 多田宗弘}, journal = {電子情報通信学会ディペンダブルコンピューティング研究会}, number = {DC2015-8}, pages = {43--48}, month = {4}, year = {2015}, } @article{id408, title = {Area Efficient Device-Parameter Estimation using Sensitivity-Configurable Ring Oscillator}, author = {S. Iizuka and Y. Higuchi and M. Hashimoto and T. Onoye}, journal = {電子情報通信学会 VLSI設計技術研究会}, month = {3}, year = {2015}, } @article{id405, title = {NBTIによる閾値電圧変化の確率的モデル化に関する一考察}, author = {佐藤雅紘 and 飯塚翔一 and 粟野皓光 and 橋本昌宜 and 尾上孝雄}, journal = {2015年電子情報通信学会総合大会講演論文集}, month = {3}, year = {2015}, } @article{id406, title = {超小型コイルを用いた近距離無線通信における周辺コイルの影響評価}, author = {河野仁 and 橋本昌宜 and 近藤利彦 and 森村浩季}, journal = {2015年電子情報通信学会総合大会講演論文集}, month = {3}, year = {2015}, } @article{id407, title = {サーモパイル型赤外線センサを用いた人感センサの性能評価}, author = {益田涼平 and 橋本昌宜 and 尾上孝雄}, journal = {2015年電子情報通信学会総合大会講演論文集}, month = {3}, year = {2015}, } @article{id403, title = {クロスエントロピー法を用いたノード間距離情報に基づく3次元ノード位置推定}, author = {鵜川翔平,信田龍哉,橋本昌宜,伊藤雄一,尾上孝雄}, journal = {情報処理学会ヒューマンコンピュータインタラクション研究会}, month = {1}, year = {2015}, } @article{id397, title = {時間的三重化によるソフトエラー耐性向上の解析的評価}, author = {土井龍太郎 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会ディペンダブルコンピューティング研究会}, month = {11}, year = {2014}, } @article{id410, title = {Real-Time Supply Voltage Sensor for Trace-based Fault Localization}, author = {M. Hashimoto and M. Ueno and T. Onoye}, journal = {Poster Session, International Test Conference (ITC)}, month = {10}, year = {2014}, } @article{id383, title = {リアルタイム3次元モデリングシステムiClayの実現に向けた1mm^3級センサノードの要素技術開発}, author = {河野仁 and 鵜川翔平 and 信田龍哉 and 塚元瑞穂 and 田中勇気 and 中島康祐 and 伊藤雄一 and 廣瀬哲也 and 橋本昌宜}, journal = {LSIとシステムのワークショップ}, month = {5}, year = {2014}, } @article{id382, title = {プロセッサの適応的速度制御における故障発生時間見積り高速化に関する研究}, author = {飯塚翔一 and 水野雅文 and 黒田弾 and 橋本昌宜 and 尾上孝雄}, journal = {LSIとシステムのワークショップ}, month = {5}, year = {2014}, } @article{id371, title = {ノード間距離情報に基づいた逐次的3次元ノード位置推定手法の検討}, author = {鵜川翔平 and 信田龍哉 and 伊藤雄一 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 回路とシステム研究会}, number = {CAS2012-119}, pages = {131--136}, month = {3}, year = {2014}, } @article{id373, title = {動的部分再構成による故障回避に適した初期配置配線の検討}, author = {郡浦宏明 and 密山幸男 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会SLDM研究会}, month = {3}, year = {2014}, } @article{id372, title = {オンチップばらつきモニタリングによる適応的性能補償 (Invited)}, author = {橋本昌宜}, journal = {電子情報通信学会 集積回路研究会}, number = {IEICE-ICD2013-100}, month = {1}, year = {2014}, } @article{id365, title = {VLSIの信頼性を向上させる再構成可能アーキテクチャ (Invited)}, author = {尾上孝雄 and 橋本昌宜 and 密山幸男 and Dawood Alnajjar and 郡浦宏明}, journal = {電子情報通信学会リコンフィギャラブルシステム研究会}, number = {IEICE-RECONF2013-51}, month = {11}, year = {2013}, } @article{id411, title = {Reliability Challenge for Exa-scale Near-threshold Computing -- Soft Error Perspective --}, author = {M. Hashimoto}, journal = {Elevator Talk Session, International Test Conference (ITC)}, month = {9}, year = {2013}, } @article{id358, title = {宇宙線中性子起因マルチセルアップセットのスケーリング則調査}, author = {作田賢志朗 and 安部晋一郎 and 渡辺幸信 and 原田諒 and 橋本昌宜 and 更田裕司 and 上村大樹}, journal = {応用物理学会秋期学術講演会}, month = {9}, year = {2013}, } @article{id355, title = {動作合成に対応した信頼性可変混合粒度再構成可能アーキテクチャの検討}, author = {郡浦宏明 and Dawood Alnajjar and 密山幸男 and 越智裕之 and 今川隆司 and 野田真一 and 若林一敏 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会リコンフィギャラブルシステム研究会}, number = {RECONF2013-8 }, pages = {41--46}, month = {5}, year = {2013}, } @article{id354, title = {放射線起因一過性パルスが信頼性に与える影響の実験的評価}, author = {原田諒 and 密山幸男 and 橋本昌宜 and 尾上孝雄}, journal = {LSI とシステムのワークショップ}, month = {5}, year = {2013}, } @article{id353, title = {C ベース設計に対応した信頼性可変粒度複合型再構成可能アーキテクチャ}, author = {郡浦宏明 and Dawood Alnajjar and 密山幸男 and 越智裕之 and 今川隆司 and 野田真一 and 若林一敏 and 橋本昌宜 and 尾上孝雄}, journal = {LSIとシステムのワークショップ}, month = {5}, year = {2013}, } @article{id340, title = {確率的動作モデルを用いたオシレータベース真性乱数生成回路のワーストケース設計手法}, author = {天木健彦 and 橋本昌宜 and 密山幸男 and 尾上孝雄}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2012-154}, pages = {99--104}, month = {3}, year = {2013}, } @article{id339, title = {オンチップセンサを用いたばらつき自己補償手法の検討}, author = {樋口裕磨 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2012-138}, pages = {13--17}, month = {3}, year = {2013}, } @article{id341, title = {センサノード間静電容量結合に基づく距離推定に向けた電極形状の検討}, author = {信田龍哉 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 回路とシステム研究会}, number = {CAS2012-119}, pages = {131--136}, month = {3}, year = {2013}, } @article{id330, title = {中性子起因一過性複数パルスの電源電圧及び基板バイアス依存性測定}, author = {原田諒 and 密山幸男 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2012-100}, pages = {237--241}, month = {11}, year = {2012}, } @article{id329, title = {動的再構成機能を用いた故障回避手法の定量的信頼性評価}, author = {郡浦宏明 and 今川隆司 and 密山幸男 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 リコンフィギャラブルシステム研究会}, number = {RECONF2012-59}, pages = {71--76}, month = {11}, year = {2012}, } @article{id412, title = {Adaptive Speed Control and Its Extremely-Low Error Rate Estimation}, author = {M. Hashimoto}, journal = {Elevator Talk Session, International Test Conference (ITC)}, month = {11}, year = {2012}, } @article{id325, title = {電気的タイミング故障のデバッグ向けオンチップリアルタイム電源電圧センサ}, author = {上野美保 and 橋本昌宜 and 尾上孝雄}, journal = {2012年電子情報通信学会ソサイエティ大会講演論文集}, number = {A-3-6}, month = {9}, year = {2012}, } @article{id359, title = {宇宙線中性子起因ソフトエラーに関するマルチセルアップセット解析}, author = {安部晋一郎 and 渡辺幸信 and 原田諒 and 橋本昌宜 and 更田裕司 and 上村大樹}, journal = {応用物理学会秋期学術講演会}, month = {9}, year = {2012}, } @article{id313, title = {ゆらぎ増幅回路を用いたオシレータベース物理乱数生成器}, author = {天木健彦 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 集積回路研究会}, number = {ICD2011-118}, pages = {87--92}, month = {12}, year = {2011}, } @article{id312, title = {Signal-Dependent Analog-to-Digital Conversion based on MINIMAX }, author = {I. Homjakovs and M. Hashimoto and T. Hirose and T. Onoye}, journal = {電子情報通信学会 集積回路研究会}, number = { ICD2011-121}, pages = {105--107}, month = {12}, year = {2011}, } @article{id314, title = {超低電圧サブスレショルド回路設計}, author = {橋本昌宜}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD211-82}, pages = {173--178}, month = {11}, year = {2011}, } @article{id384, title = {超低電圧SRAMにおける中性子起因ソフトエラーの評価}, author = {橋本昌宜}, journal = {ソフトエラー(などのLSIにおける放射線効果)に関する第1回勉強会}, month = {9}, year = {2011}, } @article{id305, title = {動的再構成可能アーキテクチャによる故障回避機構の定量的信頼性評価}, author = {郡浦宏明 and 密山幸男 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 リコンフィギャラブルシステム研究会}, number = {RECONF2011-6}, pages = {31--36}, month = {5}, year = {2011}, } @article{id296, title = {超低電圧サブスレショルド回路設計}, author = {橋本昌宜 and 更田裕司}, journal = {2011年電子情報通信学会総合大会講演論文集}, month = {3}, year = {2011}, } @article{id292, title = {電源ノイズに注目した電源遮断法の実機評価}, author = {高井康充 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 集積回路研究会}, number = {ICD2010-109}, pages = {75-80}, month = {12}, year = {2010}, } @article{id286, title = {国際会議への論文の執筆ガイド 〜 VLSI設計技術分野での一考察 〜}, author = {橋本昌宜}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2010-69}, pages = {91}, month = {11}, year = {2010}, } @article{id285, title = {確率的動作モデルを用いたオシレータベース物理乱数生成器の設計手法}, author = {天木健彦 and 橋本昌宜 and 密山幸男 and 尾上孝雄}, journal = {情報処理学会システムLSI設計技術研究会}, month = {11}, year = {2010}, } @article{id284, title = {高時間分解能を実現するSETパルス幅測定回路の提案}, author = {原田諒 and 密山幸男 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2010-55}, pages = {77--82}, month = {9}, year = {2010}, } @article{id271, title = {製造・環境ばらつきを考慮した統計的静的タイミング解析}, author = {橋本昌宜}, journal = {エレクトロニクス実装学会 システムJisso-CAD/CAE研究会公開研究会}, month = {6}, year = {2010}, } @article{id287, title = {α線起因ソフトエラー測定 -SETパルス幅測定回路の提案および超低電圧SRAMのSEU耐性評価-}, author = {原田諒 and 更田裕司 and 密山幸男 and 橋本昌宜 and 尾上孝雄}, journal = {LSIとシステムのワークショップ}, pages = {212--214}, month = {5}, year = {2010}, } @article{id288, title = {柔軟な信頼性を実現する粗粒度再構成可能アーキテクチャ}, author = {郡浦宏明 and D. Alnajjar and 高永勲 and 今川隆司 and 廣本正之 and 密山幸男 and 橋本昌宜 and 越智裕之 and 尾上孝雄}, journal = {LSIとシステムのワークショップ}, pages = {191--193}, month = {5}, year = {2010}, } @article{id262, title = {製造ばらつきや環境変動を許容するサブスレッショルド回路設計}, author = {橋本昌宜 and 更田裕司 and 尾上孝雄}, journal = {2010年電子情報通信学会総合大会講演論文集}, number = {AS-1-4}, month = {3}, year = {2010}, } @article{id252, title = {低消費エネルギー動作に適した超低電圧プロセッサのアーキテクチャ評価}, author = {黒田弾 and 更田裕司 and 橋本昌宜 and 尾上孝雄}, journal = {情報処理学会SLDM研究会}, volume = {2009-SLDM-141}, number = {19}, month = {10}, year = {2009}, } @article{id241, title = {製造ばらつき、電源変動を統一的に取り扱った統計的静的タイミング解析手法}, author = {榎並孝司 and 新開健一 and 二宮進有 and 阿部慎也 and 橋本昌宜}, journal = {LSIとシステムのワークショップ}, pages = {283--285}, month = {5}, year = {2009}, } @article{id236, title = {電源ノイズ考慮統計的タイミング解析を用いたデカップリング容量割当手法}, author = {榎並孝司 and 橋本昌宜 and 佐藤高史}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2008-161}, month = {3}, year = {2009}, } @article{id235, title = {サブスレッショルド回路における基板バイアスを考慮したトランジスタのばらつきモデリングとリングオシレータを用いた検証}, author = {更田裕司 and 橋本昌宜 and 密山幸男 and 尾上孝雄}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2008-160}, month = {3}, year = {2009}, } @article{id234, title = {レイアウトを考慮した基板バイアスクラスタリング手法}, author = {濱本浩一 and 橋本昌宜 and 密山幸男 and 尾上孝雄}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2008-159}, month = {3}, year = {2009}, } @article{id226, title = {柔軟な信頼性を実現する粗粒度再構成可能アーキテクチャの検討}, author = {高永勲 and Dawood Alnajjar and 密山幸男 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会ディペンダブルコンピューティング研究会}, number = {DC2008-41}, month = {11}, year = {2008}, } @article{id208, title = {基板バイアス印加レイアウト方式の面積効率と速度制御性の評価 }, author = {濱本浩一 and 更田裕司 and 橋本昌宜 and 密山幸男 and 尾上孝雄}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2008-14}, month = {6}, year = {2008}, } @article{id200, title = {nMOSダイナミック論理を用いた液晶駆動回路の設計手法}, author = {鉢田卓也 and 松中栄貴 and 白川功 and 築山修治 and 橋本昌宜}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2007-148}, month = {3}, year = {2008}, } @article{id201, title = {バス配線における誘導性クロストークノイズによる遅延変動の実測とノイズ重ね合わせ効果の検証}, author = {小笠原泰弘 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 集積回路研究会}, number = {ICD2007-176}, month = {3}, year = {2008}, } @article{id205, title = {nMOSレベルシフタ回路の性能比較手法について}, author = {大津誠 and 高橋真吾 and 築山修治 and 橋本昌宜 and 白川功}, journal = {情報処理学会システムLSI設計技術研究会}, number = {2008-SLDM-134}, pages = {121--126}, month = {3}, year = {2008}, } @article{id203, title = {性能歩留まり改善を目的とする演算器カスケーディングの提案}, author = {渡辺慎吾 and 橋本昌宜 and 佐藤寿倫}, journal = {第14回「ハイパフォーマンスコンピューティングとアーキテクチャの評価」に関する北海道ワークショップ(HOKKE-2008)}, number = {2007-ARC-177 }, pages = {43--48}, month = {3}, year = {2008}, } @article{id198, title = {スタンダードセルで構成された電源ノイズ波形測定回路の提案}, author = {小笠原泰弘 and 橋本昌宜 and 尾上孝雄}, journal = {電子情報通信学会 集積回路研究会}, number = {CPM2007-131, ICD2007-142}, pages = {17--22}, month = {1}, year = {2008}, } @article{id193, title = {SSTAにおける空間的相関を持つ製造ばらつきのグリッドベースモデル化法の検討}, author = {二宮進有 and 橋本昌宜}, journal = {電子情報通信学会VLSI設計技術研究会}, number = {VLD2007-91,DC2007-45}, pages = {13--17}, month = {11}, year = {2007}, } @article{id190, title = {オンチップノイズ観測}, author = {橋本昌宜}, journal = {第11回システムLSIワークショップ}, pages = {149--157}, month = {11}, year = {2007}, } @article{id191, title = {製造・環境ばらつきを考慮したタイミング検証技術}, author = {橋本昌宜}, journal = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2007-65}, pages = {21--24}, month = {10}, year = {2007}, } @article{id189, title = {統計的電源ノイズモデル化に適した適応的領域分割法}, author = {榎並孝司 and 橋本昌宜}, journal = {2007年電子情報通信学会ソサイエティ大会講演論文集}, number = {A-3-10}, month = {9}, year = {2007}, } @inproceedings{id181, title = {液晶ディスプレイ用サンプリング回路の最適性について}, author = {高橋真吾,築山修治,橋本昌宜,白川功}, booktitle = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2006-144}, month = {3}, year = {2007}, } @article{id173, title = {シャントコンダクタンスを挿入したオンチップ伝送線路のアイパターン評価}, author = {Siriporn Jangsombatsiri and 橋本昌宜 and 土谷亮 and Haikun Zhu and Chun-Kuan Cheng}, journal = {2007年電子情報通信学会総合大会講演論文集}, number = {A-3-9}, month = {3}, year = {2007}, } @article{id172, title = {低電圧回路向け基板電位制御レイアウト方式の面積効率評価}, author = {濱本浩一 and 橋本昌宜 and 密山幸男 and 尾上孝雄}, journal = {2007年電子情報通信学会総合大会講演論文集}, number = {A-3-6}, month = {3}, year = {2007}, } @article{id171, title = {メッシュ型クロック分配網のスキュー評価}, author = {阿部慎也 and 橋本昌宜 and 尾上孝雄}, journal = {2007年電子情報通信学会総合大会講演論文集}, number = {A-3-5}, month = {3}, year = {2007}, } @article{id174, title = {加算器を用いたsubthreshold 回路の設計指針の検討}, author = {更田裕司 and 橋本昌宜 and 密山幸男 and 尾上孝雄}, journal = {2007年電子情報通信学会総合大会講演論文集}, number = {A-3-17}, month = {3}, year = {2007}, } @inproceedings{id170, title = {空間的相関を考慮したSSTAにおける領域の分割数と精度}, author = {二宮進有 and 橋本昌宜}, booktitle = {2007年電子情報通信学会総合大会講演論文集}, number = {A-3-1}, month = {3}, year = {2007}, } @inproceedings{id90, title = {電源ノイズによる遅延変動の測定とフルチップシミュレーションによる遅延変動の再現}, author = {小笠原泰弘 and 榎並孝司 and 橋本昌宜 and 佐藤高史、尾上孝雄}, booktitle = {電子情報通信学会 集積回路研究会,}, number = {ICD2006-174}, month = {1}, year = {2007}, } @inproceedings{id89, title = {90nm グローバル配線における誘導性クロストークノイズによる遅延変動の実測}, author = {小笠原泰弘 and 橋本昌宜 and 尾上孝雄}, booktitle = {電子情報通信学会 集積回路研究会}, number = {ICD2006-173}, month = {1}, year = {2007}, } @inproceedings{id91, title = {シャントコンダクタンスを挿入したオンチップ伝送線路特性評価}, author = {Jangsombatsiri Siriporn and 橋本昌宜 and 尾上孝雄}, booktitle = {第十回シリコンアナログRF研究会}, month = {11}, year = {2006}, } @inproceedings{id92, title = {ナノメートル世代のVLSIタイミング設計技術の研究}, author = {小笠原泰弘 and 新開健一 and 榎並孝司 and 阿部慎也 and 二宮進有 and 橋本昌宜}, booktitle = {第10回システムLSIワークショップ}, pages = {195-198}, month = {11}, year = {2006}, } @inproceedings{id93, title = {短距離ブロック内配線の自己発熱問題の将来予測}, author = {新開健一 and 橋本昌宜 and 尾上孝雄}, booktitle = {2006年電子情報通信学会ソサイエティ大会講演論文集}, number = {A-3-14}, month = {9}, year = {2006}, } @inproceedings{id101, title = {画素充電率制約を満足する液晶ドライバ回路のトランジスタサイズ決定技術}, author = {伊地知孝仁 and 橋本昌宜,高橋真吾,築山修治,白川功}, booktitle = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2005-131}, month = {3}, year = {2006}, } @inproceedings{id100, title = {ロードマップに準拠したSPICEトランジスタモデルの構築}, author = {上村晋一朗 and 土谷亮 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2006年電子情報通信学会総合大会講演論文集}, number = {A-3-16}, month = {3}, year = {2006}, } @inproceedings{id99, title = {電源ノイズ解析のための回路動作部表現法の評価}, author = {榎並孝司 and 橋本昌宜 and 尾上孝雄}, booktitle = {2006年電子情報通信学会総合大会講演論文集}, number = {A-3-15}, month = {3}, year = {2006}, } @inproceedings{id102, title = {CMLを用いたオンチップ長距離高速信号伝送技術の開発}, author = {土谷亮 and 新名亮規 and 橋本昌宜、小野寺秀俊}, booktitle = {第9回システムLSIワークショップ}, pages = {275-278}, month = {11}, year = {2005}, } @inproceedings{id104, title = {LC共振器におけるMOSFETの抵抗成分を考慮した等価並列抵抗の見積もり}, author = {上村晋一朗 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2005年電子情報通信学会ソサイエティ大会講演論文集}, number = {C-12-39}, month = {9}, year = {2005}, } @inproceedings{id103, title = {液晶ディスプレイ用サンプリング回路の設計手法について}, author = {高橋真吾,築山修治,橋本昌宜,白川功}, booktitle = {2005年電子情報通信学会ソサイエティ大会講演論文集}, number = {A-3-4}, month = {9}, year = {2005}, } @inproceedings{id106, title = {誘導性・容量性クロストークノイズによる遅延変動の測定と評価}, author = {小笠原泰弘 and 橋本昌宜 and 尾上孝雄}, booktitle = {電子情報通信学会 集積回路研究会}, number = {ICD2005-74}, month = {8}, year = {2005}, } @inproceedings{id107, title = {SOIの基板抵抗率がLNAの性能に及ぼす影響の評価}, author = {上村晋一朗 and 橋本昌宜 and 小野寺秀俊}, booktitle = {第四回シリコンアナログRF研究会}, month = {5}, year = {2005}, } @inproceedings{id110, title = {オンチップ高速信号伝送用配線の解析的性能評価}, author = {土谷亮 and 橋本昌宜 and 小野寺秀俊}, booktitle = {電子情報通信学会 VLSI設計技術研究会}, number = {VLD2004-145}, month = {3}, year = {2005}, } @inproceedings{id109, title = {液晶ディスプレイ用サンプリングスイッチの一設計法}, author = {高橋真吾,田治輝,築山修治,橋本昌宜,白川功}, booktitle = {エレクトロニクス実装学術講演大会}, number = {16B-12}, month = {3}, year = {2005}, } @inproceedings{id112, title = {LC型VCO最大発振周波数の実験的検討}, author = {上村晋一朗 and 橋本昌宜 and 小野寺秀俊}, booktitle = {第三回シリコンアナログRF研究会}, month = {1}, year = {2005}, } @inproceedings{id111, title = {実測と電磁界解析による基板損失の評価}, author = {土谷亮 and 橋本昌宜 and 小野寺秀俊}, booktitle = {第三回シリコンアナログRF研究会}, month = {1}, year = {2005}, } @inproceedings{id113, title = {システム液晶設計のための配線容量抽出手法}, author = {内田好弘 and 谷貞宏 and 橋本昌宜 and 築山修治 and 白川功}, booktitle = {電子情報通信学会 VLSI設計技術研究会(デザインガイア)}, number = {VLD2004-64}, month = {12}, year = {2004}, } @inproceedings{id114, title = {ナノメートル世代のタイミング解析 -- 信号線・電源線ノイズ、ばらつき、熱への対応 --}, author = {橋本昌宜}, booktitle = {第8回システムLSIワークショップ}, pages = {191-200}, month = {11}, year = {2004}, } @inproceedings{id115, title = {システム液晶に適した配線間容量抽出の検討}, author = {内田好弘 and 谷貞宏 and 橋本昌宜 and 築山修治 and 白川功}, booktitle = {2004年電子情報通信学会ソサイエティ大会講演論文集}, number = {A-1-16}, month = {9}, year = {2004}, } @inproceedings{id116, title = {微細LSIにおけるタイミング解析 --電源ノイズ・信号線ノイズ・ばらつきへの対応--}, author = {橋本昌宜 and 小野寺秀俊}, booktitle = {2004年電子情報通信学会ソサイエティ大会講演論文集}, month = {9}, year = {2004}, } @inproceedings{id118, title = {高周波CMOSデバイスモデルを用いたLCVCOの特性見積もりと実測}, author = {上村晋一朗 and 橋本昌宜 and 小野寺秀俊}, booktitle = {第二回シリコンアナログRF研究会}, month = {8}, year = {2004}, } @inproceedings{id117, title = {基板および周辺信号配線が配線特性に及ぼす影響の実測}, author = {土谷亮 and 橋本昌宜 and 小野寺秀俊}, booktitle = {第二回シリコンアナログRF研究会}, month = {8}, year = {2004}, } @inproceedings{id124, title = {オンチップ伝送線路におけるリターン電流評価精度が信号波形に与える影響}, author = {土谷亮 and 橋本昌宜 and 小野寺秀俊}, booktitle = {第一回シリコンアナログRF研究会}, month = {4}, year = {2004}, } @inproceedings{id126, title = {ゲート毎の電源電圧変動を考慮した静的遅延解析法}, author = {山口隼司 and 橋本昌宜 and 小野寺秀俊}, booktitle = {電子情報通信学会 VLSI設計技術研究会}, number = {ICD2003-236/VLD2003-143}, month = {3}, year = {2004}, } @inproceedings{id125, title = {電源電圧変動に対するオンチップ配線インダクタンスの影響}, author = {村松篤 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2004年電子情報通信学会総合大会講演論文集}, number = {A-3-22}, month = {3}, year = {2004}, } @inproceedings{id127, title = {電源配線の等価回路簡略化による電源解析高速化の検討}, author = {村松篤 and 橋本昌宜 and 小野寺秀俊}, booktitle = {平成15年度情報処理学会関西支部支部大会 VLSI研究会}, number = {C-01}, pages = {169-172}, month = {11}, year = {2003}, } @inproceedings{id129, title = {デジタルCMOSプロセスを使用したクロック生成向けPLLの将来性能予測 ーLC発振型VCOを用いたPLLの有効性ー}, author = {宮崎崇仁 and 橋本昌宜 and 小野寺秀俊}, booktitle = {電子情報通信学会集積回路研究会}, number = {ICD2003-99}, pages = {29-34}, month = {9}, year = {2003}, } @inproceedings{id128, title = {オンチップ高速信号配線における波形歪みの影響}, author = {土谷亮 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2003年電子情報通信学会ソサイエティ大会講演論文集}, number = {A-3-6}, pages = {56}, month = {9}, year = {2003}, } @inproceedings{id134, title = {オンチップオシロ用サンプルホールド回路の広周波数帯域化}, author = {宮崎崇仁 and 新名亮規 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2003年電子情報通信学会総合大会講演論文集}, number = {C-12-34}, pages = {103}, month = {3}, year = {2003}, } @inproceedings{id133, title = {信号配線と下層配線との結合に対する直交配線の影響}, author = {土谷亮 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2003年電子情報通信学会総合大会講演論文集}, number = {A-3-14}, pages = {81}, month = {3}, year = {2003}, } @inproceedings{id135, title = {オンチップデカップリング容量の最適寄生抵抗値の決定法}, author = {村松篤 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2003年電子情報通信学会総合大会講演論文集}, number = {A-3-13}, pages = {80}, month = {3}, year = {2003}, } @inproceedings{id132, title = {LSI物理設計におけるSignal Integrity問題}, author = {橋本昌宜}, booktitle = {情報処理学会関西支部VLSIシステム研究会平成14年度第3回研究会}, month = {3}, year = {2003}, } @inproceedings{id136, title = {静的遅延解析のための等価ゲート入力波形導出法 --VDSMプロセスに起因する波形歪みへの対応--}, author = {山田祐嗣 and 橋本昌宜 and 小野寺秀俊}, booktitle = {情報処理学会システムLSI設計技術研究会}, number = {2003-SLDM-108-20}, pages = {111-116}, month = {1}, year = {2003}, } @inproceedings{id137, title = {容量性クロストークを考慮した高精度タイミング解析に関する研究}, author = {山田祐嗣 and 橋本昌宜 and 小野寺秀俊}, booktitle = {平成14年度情報処理学会関西支部支部大会 VLSI研究会}, number = {C-3}, pages = {113-114}, month = {11}, year = {2002}, } @inproceedings{id139, title = {インダクタンスに起因する配線遅延変動の統計的予測手法}, author = {佐藤高史 and 金本俊幾 and 黒川敦 and 川上善之 and 岡宏規 and 北浦智靖 and 池内敦彦 and 小林宏行 and 橋本昌宜}, booktitle = {2002年電子情報通信学会ソサイエティ大会講演論文集}, number = {TA-2-4}, pages = {247-248}, month = {9}, year = {2002}, } @inproceedings{id138, title = {京大版スタンダードセルライブラリ}, author = {橋本昌宜}, booktitle = {VDEC LSI デザイナーフォーラム 2002}, month = {9}, year = {2002}, } @inproceedings{id147, title = {駆動力可変セルレイアウト生成システムによるスタンダードセルライブラリ開発}, author = {藤森一憲 and 橋本昌宜 and 小野寺秀俊}, booktitle = {電子情報通信学会VLSI設計技術研究会}, number = {VLD2001-147/ICD2001-222}, month = {3}, year = {2002}, } @inproceedings{id145, title = {ゲート出力波形導出時の誤差要因とその影響の評価}, author = {山田祐嗣 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2002年電子情報通信学会総合大会講演論文集}, number = {A-3-3}, pages = {82}, month = {3}, year = {2002}, } @inproceedings{id146, title = {LSI配線インダクタンスに対する直交配線の影響}, author = {土谷亮 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2002年電子情報通信学会総合大会講演論文集}, number = {A-3-23}, pages = {102}, month = {3}, year = {2002}, } @inproceedings{id148, title = {ポストレイアウトトランジスタ寸法最適化によるクロストークノイズ削減手法}, author = {橋本昌宜 and 高橋正郎 and 小野寺秀俊}, booktitle = {情報処理学会システムLSI設計技術研究会(デザインガイア)}, number = {SLDM103-6}, pages = {39-44}, month = {11}, year = {2001}, } @inproceedings{id150, title = {波形重ね合せによるクロストーク遅延変動量の見積もり手法}, author = {高橋正郎 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2001年電子情報通信学会ソサイエティ大会講演論文集}, number = {A-3-9}, pages = {63}, month = {9}, year = {2001}, } @inproceedings{id151, title = {ポストレイアウトトランジスタ寸法最適化によるクロストークノイズ削減手法}, author = {橋本昌宜 and 高橋正郎 and 小野寺秀俊}, booktitle = {2001年電子情報通信学会ソサイエティ大会講演論文集}, number = {A-3-8}, pages = {62}, month = {9}, year = {2001}, } @inproceedings{id149, title = {長距離高速配線における RC モデルに基づく回路設計の限界}, author = {土谷亮 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2001年電子情報通信学会ソサイエティ大会講演論文集}, number = {A-3-6}, pages = {60}, month = {9}, year = {2001}, } @inproceedings{id153, title = {隣接位置を考慮した解析的クロストークノイズモデル ---実回路への 適用---}, author = {橋本昌宜 and 高橋正郎 and 小野寺秀俊}, booktitle = {2001年電子情報通信学会総合大会講演論文集}, number = {A-3-6}, pages = {84}, month = {3}, year = {2001}, } @inproceedings{id154, title = {隣接位置を考慮した解析的クロストークノイズモデル ---導出と評価 ---}, author = {高橋正郎 and 橋本昌宜 and 小野寺秀俊}, booktitle = {2001年電子情報通信学会総合大会講演論文集}, number = {A-3-5}, pages = {83}, month = {3}, year = {2001}, } @inproceedings{id155, title = {パスバランス回路における遅延不確かさの統計的解析}, author = {橋本昌宜 and 小野寺秀俊}, booktitle = {電子情報通信学会VLSI設計技術研究会(デザインガイア)}, number = {VLD2000-72}, month = {11}, year = {2000}, } @inproceedings{id156, title = {パスバランス回路における遅延不確かさの統計的解析}, author = {橋本昌宜 and 小野寺秀俊}, booktitle = {2000年電子情報通信学会基礎・境界ソサイエティ大会講演論文集}, number = {A-3-9}, pages = {76}, month = {9}, year = {2000}, } @inproceedings{id157, title = {オンデマンドライブラリを用いた最適LSI設計手法}, author = {橋本昌宜}, booktitle = {VDEC LSI デザイナーフォーラム }, month = {8}, year = {2000}, } @inproceedings{id161, title = {オンデマンドライブラリを用いたシステムLSI詳細設計手法}, author = {橋本昌宜 and 橋本鉄太郎 and 西川亮太 and 福田大輔 and 黒田慎介 and 菅俊介 and 神原弘之 and 小野寺秀俊}, booktitle = {電子情報通信学会VLSI設計技術研究会}, number = {VLD99-112/ICD99-269}, month = {3}, year = {2000}, } @inproceedings{id160, title = {静的統計遅延解析を用いた最悪遅延時間計算手法}, author = {橋本昌宜 and 小野寺秀俊}, booktitle = {2000年電子情報通信学会総合大会講演論文集}, number = {A-3-13}, pages = {81}, month = {3}, year = {2000}, } @inproceedings{id162, title = {オンデマンドライブラリを用いたシステムLSI詳細設計手法}, author = {橋本昌宜 and 橋本鉄太郎,西川亮太,福田大輔,黒田慎介,菅俊介,神原弘之,小野寺秀俊}, booktitle = {第3回 システムLSI琵琶湖ワークショップ}, pages = {279-281}, month = {11}, year = {1999}, } @inproceedings{id163, title = {スタンダードセルライブラリの駆動能力種類の追加による消費電力削減効果の検討}, author = {橋本昌宜 and 小野寺秀俊}, booktitle = {1999年電子情報通信学会基礎・境界ソサイエティ大会講演論文集}, number = {A-3-9}, pages = {52}, month = {9}, year = {1999}, } @inproceedings{id164, title = {グリッチの削減を考慮したゲート寸法最適化による消費電力削減手法 ---レイアウト設計への適用---}, author = {橋本昌宜 and 小野寺秀俊 and 田丸啓吉}, booktitle = {1998年電子情報通信学会基礎・境界ソサイエティ大会講演論文集}, number = {A-3-5}, month = {9}, year = {1998}, } @inproceedings{id166, title = {論理シミュレーションを用いた消費電力見積もりの高精度化手法}, author = {橋本昌宜 and 小野寺秀俊 and 田丸啓吉}, booktitle = {1998年電子情報通信学会総合大会講演論文集}, number = {A-3-5}, pages = {91}, month = {3}, year = {1998}, } @inproceedings{id167, title = {入力端子接続最適化による遅延時間と消費電力の最適化手法}, author = {橋本昌宜 and 小野寺秀俊 and 田丸啓吉}, booktitle = {1997年電子情報通信学会基礎・境界ソサイエティ大会講演論文集}, number = {A-3-15}, pages = {67}, month = {9}, year = {1997}, } @book{id624, title = {Photonic Neural Networks with Spatiotemporal Dynamics}, author = {Hideyuki Suzuki and Jun Tanida and Masanori Hashimoto}, publisher = {Springer Singapore}, month = {10}, year = {2023}, } @article{id544, title = {Atomic Switch {FPGA:} Application for {IoT} Sensing Systems in Space}, author = {H. Hihara and A. Iwasaki and M. Hashimoto and H. Ochi and Y. Mitsuyama and H. Onodera and H. Kanbara and K. Wakabayashi and T. Sugibayashi and T. Takenaka and H. Hada and M. Tada and M. Miyamura and T. Sakamoto}, journal = {Book Chapter, Atomic Switch, Springer}, month = {3}, year = {2020}, } @article{id492, title = {Radiation-Induced Soft Errors}, author = {E. Ibe and S. Yoshimoto and M. Yoshimoto and H. Kawaguchi and K. Kobayashi and J. Furuta and Y. Mitsuyama and M. Hashimoto and T. Onoye and H. Kanbara and H. Ochi and K. Wakabayashi and H. Onodera and M. Sugihara}, journal = {Book chapter, VLSI Design and Test for Systems Dependability, Springer}, month = {8}, year = {2018}, } @article{id493, title = {Applications of Reconfigurable Processors as Embedded Automatons in the {IoT} Sensor Networks in Space}, author = {H. Hihara and A. Iwasaki and M. Hashimoto and H. Ochi and Y. Mitsuyama and H. Onodera and H. Kanbara and K. Wakabayashi and T. Sugibayashi and T. Takenaka and H. Hada and M. Tada}, journal = {Book chapter, VLSI Design and Test for Systems Dependability, Springer}, month = {8}, year = {2018}, } @article{id494, title = {Time-Dependent Degradation in Device Characteristics and Countermeasures by Design}, author = {T. Sato and M. Hashimoto and S. Tanakamaru and K. Takeuchi and Y. Sato and S. Kajihara and M. Yoshimoto and J. Jung and Y. Kimi and H. Kawaguchi and H. Shimada and J. Yao}, journal = {Book chapter, VLSI Design and Test for Systems Dependability, Springer}, month = {8}, year = {2018}, } @book{id380, title = {Power Integrity for Nanoscale Integrated Systems}, author = {M. Hashimoto and R. Nair}, editor = {M. Hashimoto, R. Nair}, publisher = {McGraw-Hill Professional}, month = {2}, year = {2014}, } @article{id267, title = {Power integrity management in integrated circuits and systems}, author = {M. Hashimoto and R. Nair}, journal = {Book chapter, Power Integrity Analysis and Management for Integrated Circuits, Prentice Hall PTR}, month = {5}, year = {2010}, } @article{id268, title = {{IC} power integrity and optimal power delivery}, author = {R. Nair and M. Hashimoto and N. Srivastava}, journal = {Book chapter, Power Integrity Analysis and Management for Integrated Circuits, Prentice Hall PTR}, month = {5}, year = {2010}, } @article{id617, title = {環境放射線と半導体デバイスのソフトエラー ミューオン起因ソフトエラーの測定と課題}, author = {橋本昌宜}, journal = {日本原子力学会誌ATOMOΣ}, volume = {65}, number = {5}, pages = {323-325}, month = {5}, year = {2023}, } @article{id505, title = {宇宙線ミューオンが電子機器の誤作動を引き起こす}, author = {橋本昌宜}, journal = {Isotope News}, number = {761}, month = {2}, year = {2019}, } @article{id391, title = {経時劣化概説}, author = {佐藤高史 and 橋本昌宜}, journal = {信頼性学会誌}, volume = {35}, number = {8}, pages = {457--458}, month = {12}, year = {2013}, } @article{id360, title = {超低電力サブスレッショルド回路設計技術}, author = {橋本昌宜}, journal = {IEICE Fundamentals Review}, pages = {30--37}, month = {7}, year = {2013}, } @article{id212, title = {遅延ばらつきを考慮した{VLSI}タイミング検証}, author = {橋本昌宜}, journal = {エレクトロニクス実装学会誌}, volume = {11}, number = {3}, pages = {182--185}, month = {5}, year = {2008}, }